基于VHDL的智能交通灯控制器

基于VHDL的智能交通灯控制器
基于VHDL的智能交通灯控制器

基于VHDL的智能交通灯控制器

1 设计任务及要求交通灯在指挥道路交叉部分的车辆,行人安全,有序,高效地通行,缓解城市交通拥堵状况起着重要作用,交通灯的出现大大降低了交汇路段的交通事故率,极大地提高了通行效率,是现代交通控制系统不可缺少的一部分。本次将设计基于VHDL语言的交通灯控制系统,实现如下功能: 1. 本设计用总共8个LED灯分别指示南北和东西方向的红黄绿以及左转信号灯。并规定在非紧急情况下各个方向车辆在不影响其他直行车道车辆正常行驶是情况下均能右转向,因此本设计未包含右转向信号。 2. 本设计具有状态实时显示功能,即各个状态的剩余时间将在对应的数码管上显示。 3. 复位功能:在紧急情况键未按下时复位键可以使系统从任意状态回到第一种状态。 4. 紧急

状态限行功能:在紧急情况时可以按下紧急情况按钮,可使系统在任意情况,转向限制所有方向车辆通行,同时数码管显示“00”,发出警告音 5. 系统的六个状态为:东西方向绿灯,南北方向红灯,持续30s;东西方向黄灯,南北方向红灯,持续5s;东西方向绿灯,南北方向左转,持续10;东西方向红灯,南北方向绿灯,持续30s;东西方向红灯,南北方向黄灯,持续5s;东西方向左转,南北方向绿灯,持续10s;

6.要求在无人工干预条件下各个状态能自动转换,系统正常运行。2设计原理及总体框图本交通灯设计总共用8个数码管分别指示东西和南北方向的红灯,黄灯,绿灯以及左转指示灯。共有六种状态,如下表所示:状态 1 2 3 4 5 6 南北方向东西方向LED指示LED状态绿灯黄灯绿灯红灯红灯左转计数器初值30 5 10 35 5 10 LED状态红灯红灯左转绿灯黄灯绿灯计数器初值35 5 10 30

5 10 LED代码00101000 01001000 00100001 10000010 10000100 00010010 设计的总体框图为:时钟输入交通灯控制核心模块东西方向数码管时间显示南北方向数码管时间显示LED显示交通灯控制模块在时钟的控制下,实现各个状态的自动转换,并且负责输出LED的控制信号和,数码管倒计时的BCD码输入信号。 3 程序设计包括VHDL的简单介绍和编写的相应程序,同时也可以简要说明程序设计的要点VHDL是超高速集成电路硬件描述语言。VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设

计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体分成外部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。其具有功能强大、设计灵活、支持广泛、易于修改等诸多优点,因此得到广泛应用,成为现今主流的硬件描述语言。本程序,只用一个进程即可完成复杂的交通灯设计任务,简洁精练,执行效率高,同时又具有稳定可靠的特点。本程序将8个LED灯,进行八位数字编码,高电平LED灯亮表示输出有效,各个状态剩余时间的显示,采用8位BCD码表示,进行减“1”运算时

需要按照十进制数来计算,因此需要进行BCD码调整。各个状态的转换标志是时间的现态以及LED的现态,据此进行状态的循环转换。同时还需考虑到紧急情况的发生可能需要两个方向的车辆同时禁行,以便于特殊车辆的快速无障碍通过,因此还需设计一个禁行按钮,便于紧急情况下对交通的临时管制,还需确保禁行按钮失效时交通能迅速恢复正常通行。因此本程序设计了一个“stop”按钮,此按钮具有最高权限可以使交通灯在任何状态下,转换才两个方向同时禁行的状态,。另外本程序还设计了一个“reset”按钮,具有仅次于“stop”按钮的管制权限,即在非紧急情况按钮按下时此按钮可使系统从其他状态回到第一种状态,因此本按钮可以用于系统的复位。本程序的所有代码:LIBRARY IEEE; USE _LOGIC_; USE _LOGIC_; ENTITY jtd IS PORT(stop,clk,reset:IN STD_LOGIC; snten,snone,ewten,ewone:BUFFER

STD_LOGIC_VECTOR(3 DOWNTO 0); led:BUFFER STD_LOGIC_vector(7 DOWNTO 0);bell:OUT STD_LOGIC); END jtd; ARCHITECTURE cd OF jtd IS BEGIN PROCESS(clk,stop) V ARIABLE sn,ew: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF stop=‘1’ THEN ——进行按钮用于紧急情况led sn:=\——数码管时间显示为“00”bell ELSIF clk’EVENT AND clk=‘1’ THEN IF reset=‘1’ THEN sn:=\——复位按钮,系统恢复到第一种状态ew:=\led ELSIF sn(3 DOWNTO 0)>\0)>\——非法状态是可以迅速转到第一种状态sn:=\ew:=\led ELSIF sn=\sn:=\sn:=\led ELSIF sn=\AND ew=\AND led=\THEN sn:=\ew:=\led ELSIF sn=\ sn:=\ew:=\led ELSIF sn=\

ew:=\sn:=\led ELSIF sn=\ ew:=\

sn:=\led ELSIF sn=\AND ew=\AND led=\THEN ew:=\sn:=\led ELSIF sn(3 DOWNTO 0)=\THEN sn(7 DOWNTO 4):=sn(7 DOWNTO 4)-\ sn(3 DOWNTO 0):=\码的调整ELSIF ew(3 DOWNTO 0)=\THEN ew(7 DOWNTO 4):=ew(7 DOWNTO 4)-\ ew(3 DOWNTO 0):=\码的调整ELSE sn:=sn-’1’; ew:=ew-’1’;END IF; END IF ; snten 4 编译及仿真Max+plusⅡ是Altera公司的PLD开发软件,提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA 软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结

构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。本次设计是通过Max+plusⅡ调试和编译的,仿真的波形图如下图所示:图形1 图形2 程序在调试过程中还是出现过很多的问题,比如语法的错误,借助Max+plusⅡ软件强大的纠错功能,通过几次认真的修改,我的程序终于没有了,语法错误,顺利通了编译。但在波形仿真时也同样出现了一系列问题,后来发是程序逻辑上的错误,只有通过仿真才发现了问题并最终解决了问题。 5 硬件调试与结果分析完成软件仿真后,我就进实验室开始进行硬件的调试,打开试验箱,切换模式然后下载程序,可是发现结果不准确,没有达到预期的效果,后经过认真分析发现原来是引脚锁定出了问题。纠正错误后,果然系统就按预期正常运行了,各项功能也都得以实现,并顺利通过了验收。于本次硬件验证时间有限,因此也只能对

系统的功能进行验证。至于系统的稳定性也就无法很好的验证了,但从硬件验证的结果来看本次设计还是圆满地完成了预期目标,取得了成功。心得体会EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方

面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA作为一种新兴的技术,自其出现之日就给电子系统的设计带来了革命性变化,在信息化的21世纪EDA技术的重要性不言而喻,因此掌握好这门技术对于电子专业的学生非常重要。虽然我在前期的学习过程中已经系统学习了EDA 的各项基础知识,但是都仅仅是限于书本上的知识,并无任何实践经验,基本还处于纸上谈兵的阶段。恰好这次课程设计给了我们一个很好的实践机会,可以很好地检验我们课本上学的知识在实际中的应用。因此我倍加珍惜这次

难得的好机会,从最初的选题,到初步方案的确定我都颇费了心思。初次程序历时一天基本完成,可是后期的调试过程却远远超出了我原本的预期,经历了许多原本想都没想到的困难。但当我认真静下心来发现错误,解决一个个问题时,感觉确实也学到了很多宝贵的经验,其实解决问题的过程同时也是提高的过程。在我的不懈努力下一道道难关相继被我攻破,一个个问题前后被我解决,我感觉离成功也越来越近,最后当看到程序正常运行时,我也感受到了成功的喜悦通过本次课程设计,我不仅巩固了课本上学到的EDA知识,更重要的是还获得了很多平时书本上难以学到的宝贵经验,确实是获益匪浅,我也认识到了,理论结合实践确实是一种非常有效的学习方法,今后一定要加强实践环节,否则书本学得再好,也始终只能停留在坐而论道的阶段,遇到实际问题往往手足无措,这是非常不可取的。实践和理论有机结合,依靠理论指

导实践,通过实践加强理论,相互促进,相得益彰,方能事半而功被!6

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

智能交通灯控制器实训报告

目录 摘要 1 绪论 (1) 2设计方案简述 (2) 2.1实现主要功能 (2) 2.2设计方案与意义 (2) 3 详细设计 (3) 3.1 系统硬件电路设计 (3) 3.2 AT89C51芯片简介 (3) 3.3芯片74LS237介绍 (6) 3.4单元电路设计 (7) 3.5系统整体设计电路 (9) 3.6系统软件功能设计 (9) 4 PROTEUS与Keil C51的操作 (12) 4.1硬件电路图的接法操作 (12) 4.2单片机系统PROTEUS设计与仿真过程 (13) 4.3仿真结果 (14) 5.5 总结 (18)

绪论 交通灯是人们日常出行必须要遵守的交通规则。它的发明源于19世纪初,近年来随着科技的飞速发展,单片机的应用正在不断地走向深入。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。 基于传统交通灯控制系统设计过于死板,红绿灯交替是间过于程式化的缺点,智能交通灯控制系统的设计就更显示出了它的研究意义,它能根据道路交通拥护,交叉路口经常出现拥堵的情况。利用单片机控制技术.提出了软件和硬件设计方案,能够实现道路的最大通行效率。 本课程设计的任务就是设计一个交通灯的控制系统。鼓励学生在熟悉基本原理的情况下,与实际应用相联系,提出自己的方案,完善设计。 具体设计任务如下: 1.进行系统总体设计。 2.完成系统硬件电路设计。 3.完成系统软件设计。 4.撰写设计说明书。设计要求: 1.该控制系统能控制东西南北四个路口的红黄绿灯正常工作。东西和南北方向分时准行和禁行。

基于机器视觉智能交通灯控制系统

文档从互联网中收集,已重新修正排版,word格式支持编辑,如有帮助欢 迎下载支持。机器视觉的论述作业 题目:基于机器视觉智能交通灯控制系统 学院名称:电气工程学院 专业班级: 姓名: 学号: 时间:

1 绪论 (3) 2 基于机器视觉的智能交通灯系统设计 (3) 3 智能交通灯控制策略 (5) 3.1 模糊控制 (5) 3.2 智能交通灯模糊控制策略 (5) 3.3 解模糊化算法 (6) 4 系统硬件设计 (6) 4.1 摄像头的安装和特性 (6) 4.2 视频采集模块设计 (6) 4.3 DSP控制处理模块设计 (7) 4.4 信号灯驱动模块设计 (7) 4.5 电源模块设计 (8) 5 系统软件设计及调试 (8) 5.1 软件总体设计方案 (8) 5.2 视频采集模块的软件设计 (9) 5.3 系统调试 (9) 6 总结 (9) 7 参考文献 (10)

1 绪论 随着社会经济的发展,城市车辆数量迅速增长,交通拥挤日益严重,造成的交通事故和环境污染等负面效应也日益突出。城市交通问题直接制约着城市的建设和经济的增长,与人们的日常生活密切相关。通常交通阻塞大都是由于城市路口实际通行能力不足所造成的,路口交通问题逐步成为经济和社会发展中的重大问题,为此世界大多数国家都在进行智能交通灯控制系统的研究。 本文的目的是对基于机器视觉的智能交通灯控制系统进行了研究。基于机器视觉的智能交通灯控制系统对路口交通灯进行智能控制,根据各相位车流量大小,智能分配红绿灯时间,彻底改变了传统交通灯控制方式的不足。目前由于城市路口交通信号灯的控制策略不理想,导致了路口实际通行能力下降,停车次数比较多,车辆通过路口的延误时间较长,容易造成不必要的拥堵。改善交通灯控制策略,来提高路口的实际通行能力,这是城市交通控制中需要解决的主要问题。自从计算机控制系统应用于交通灯控制以来,硬件设备的不断更新和改进,智能化和集成化成为城市道路交通信号控制系统的研究趋势,而路口交通灯控制系统是智能交通系统中的关键点和突破口。 2 基于机器视觉的智能交通灯系统设计 基于机器视觉的智能交通灯控制系统是由摄像机、视频采集模块、DSP控制处理模块、信号灯驱动模块、电源模块、时钟模块、复位模块和信号灯组等组成,其组成框图如2.1图所示 图2.1系统组成框图 系统中摄像机是用来拍摄路口车辆视频,是路口车流量获取的基础设备,其拍摄的视频图像质量高低直接影响到系统对交通灯控制的精度。摄像机的选择决定着视频的质量,所以一般要选择稳定性高,分辨率符合系统要求的摄像机。目前摄像机主要分为两种,一种是电荷耦合器件_℃CD图像传感器;一种是互补性

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

智能交通灯控制系统——软件部分

郑州航空工业管理学院 毕业论文(设计) 2012 届电子信息工程专业 ****** 班级 题目智能交通灯控制系统——软件部分 姓名***** 学号*********** 指导教师***** 职称教授 二〇一二年五月二十一日

内容摘要 交通灯在现代社会交通管理的作用毋庸置疑,但某些所需的功能仍然不具备,本文设计的交通灯不但实现了基本的倒计数功能,还创新的添加了流量控制、可调节时间、紧急情况处理和随时东西、南北通行切换的功能,并对车流量检测和判断做出了多个方案对比,最终确定使用闯红灯警戒线作为车流量检测的方法。 设计以STC89C52单片机为核心,外接外围电路构成基本电路,编写C语言程序,用keil编译调试,在PROTEUS软件中仿真,用来实现了交通微控制器的模拟。 智能交通灯控制系统通常要实现车流量自动控制和在紧急情况下能够手动切换信号灯让特殊车辆优先通行。该文主要论述了智能交通灯控制系统的软件实现,还对STC89C52单片机的结构特点和重要引脚功能进行了介绍,同时对智能交通灯控制系统的设计进行了分析。最后利用PROTEUS软件对交通灯控制系统进行仿真。 关键词 单片机;STC89C52;车流量;智能交通灯

Intelligent Traffic Light Control System —The software section ********************************** Abstract The traffic lights in the modern society traffic management role, but some no doubt the functionality needed to still do not have, this paper introduces the design of traffic light not only realize the basic pour count function, still added the function of traffic control, adjustable time, emergency situation and direction switching , and to finalize the use of red light running cordon as the methods of traffic detection.we compared a number of options of the traffic detection and the judgment. Design with STC89C52 single-chip microcomputer as the core, an external circuit constitute the basic circuit, periphery type C language program, Keil compiling and debugging , PROTEUS software simulation, in basically achieved traffic simulation of micro controller. Intelligent traffic control system will usually achieve traffic volume automatic control and in emergency situations can manual switching lights make special vehicle right-of-way.This paper mainly discusses the software implementation of the intelligent traffic light control system。Microcontroller STC89C52 structural characteristics and pin functions are

智能交通灯控制器的设计报告

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

智能交通灯控制系统的设计

智能交通灯控制系统的设计

前言 1.1 概述 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制日新月益的更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。 随着微控技术的日益完善和发展,单片机的应用在不断走向深入。它的应用必定导致传统的控制技术从根本上发生变革。也就是说单片机应用的出现是对传统控制技术的革命。它在工业控制、数据采集、智能化仪表、机电一体化、家用电器等领路得到了广泛应用,极大的提高了这些领域的技术水平和自动化控制。因此单片机的开发应用已成为高技术工程领域的一项重大课题。因此了解单片机知识,掌握单片机的应用技术具有重大的意义。 1.2 基于单片机的智能交通灯控制系统设计的意义 国内的交通灯一般设在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。对于一般情况下的安全行车,车辆分流尚能发挥作用,但根据实际行车过程中出现的情况,还存在以下缺点:1.经常出现的情况是某一车道车辆较多,放行时间应该长一些,另一车道车辆较少,放行时间应该短些。2.没有考虑紧急车通过时,两车道应采取的措施,例如,消防车执行紧急任务通过时,两车道的车都应停止,让紧急车通过。 基于传统交通灯控制系统设计过于死板,红绿灯交替是间过于程式化的缺点,智能交通灯控制系统的设计就更显示出了它的研究意义,它能根据道路交通拥护,交叉路口经常出现拥堵的情况。利用单片机控制技术.提出了软件和硬件设计方案,能够实现道路的最大通行效率。

智能交通灯控制系统 毕业实践调研报告

调研报告 由于我国经济的快速发展从而导致了汽车数量的猛增,大中型城市的城市交通,正面临着严峻的考验,从而导致交通问题日益严重,其主要表现如下:交通事故频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益加深等。日常的交通堵塞成为人们司空见惯而又不得不忍受的问题。在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。 在实际应用上,根据对国内外实际交通信号控制应用的考察,平面独立交叉口信号控制基本采用定周期、多时段定周期、半感应、全感应等几种方式。前两种控制方式完全是基于对平面交叉口既往交通流数据的统计调查,由于交通流存在的变化性和随机性,这两种方式都具有通行效率低、方案易老化的缺陷,而半感应式和全感应式这两种方式是在前两种方式的基础上增加了车辆检测器并根据其提供的信息来调整周期长和绿信比,它对车辆随机到达的适应性较大,可使车辆在停车线前尽可能少停车,达到交通流畅的效果。 在现代化的工业生产中,电流、电压、温度、压力、流量、流速和开关量都是常用的主要被控参数。例如:在冶金工业、化工生产、电力工程、造纸行业、机械制造和食品加工等诸多领域中,人们都需要对交通进行有序的控制。采用单片机来对交通进行控制,不仅具有控制方便、组态简单和灵活性大等优点,而且可以大幅度提高被控制量的技术指标,从而能够大大提高产品的质量和数量。因此,单片机对交通灯的控制问题是一个工业生产中经常会遇到的问题。 在工业生产中,有很多行业有大量的交通灯设备,在现行系统中,大多数的交通控制信号都是用继电器来完成的,但继电器响应时间长,灵敏度低,长期使用之后,故障机会大大增加,而采用单片机控制,其精度远远大于继电器,响应时间短,软件可靠性高,不会因为工作时间缘故而降低其性能,相比而言,本方案具有很高的可行性。 1.单片机的定义 单片机是指一个集成在一块芯片上的完整计算机系统。尽管它的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。 单片机也被称为微控制器(Microcontroller),是因为它最早被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。 2.单片机介绍 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有

基于单片机的智能交通灯控制系统设计

沈阳理工大学应用技术学院 基于单片机的智能交通灯控制系统设计 与实现

基于单片机的智能交通灯控制系统设计与实现 摘要 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用STC89C52RC单片机以及单片机最小系统和74HC245电路以及外围的按键和数码管显示等部件,设计一个基于单片机的交通灯设计。设计通过两位一体共阴极数码管显示,并能通过按键对定时进行设置。本系统实用性强、操作简单、扩展功能强。 关键词:交通灯;单片机;显示;计时;车流量

Design and implementation of intelligent traffic lights control based on MCU Abstract In recent years along with the rapid development of science and technology, SCM applications are continually deepening, and promote the traditional control detection technology is updated. In real-time detection and automatic control of the microcomputer application system, the microcontroller is often used as a core component, only SCM knowledge is not enough, should be based on specific hardware structure of hardware and software combination, to be perfect. Crossroads shuttle vehicles, pedestrians bustling, car dealership traffic lane, people walkways, everything in good order and well arranged. So what to rely on to realize it in order? Is the traffic lights on the automatic command system. A lot of traffic signal control. This system uses STC89C52RC and 74HC245 system and the smallest transistor driving circuit and a periphery of the keys and digital tube display and other parts, a design based on the single chip design of traffic lights. Design through one of two common cathode nixie tube display, and can be key to regular set. This system is practical, simple operation, strong expanding function. Keywords: Traffic light,SCM,Display,Timing,Traffic flow

智能交通灯系统课程设计报告

江苏师范大学物电学院课程设计报告 课程名称:单片机课程实训 题目:智能交通灯系统 专业班级: 学生姓名: 学生学号: 日期: 指导教师:

说明: 1、报告中的第一、二、三项由学生在课程设计开始前填写,由指导教师指 导并确认签字。 2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩,并 填写成绩评定表。 3、所有学生必须参加课程设计的答辩环节,凡不参加答辩者,其成绩一律 按不及格处理。答辩小组成员应由2人及以上教师组成。答辩后学生根据答辩情况填写答辩记录表。 4、报告正文字数一般应不少于3000字,也可由指导教师根据本门课程设 计的情况另行规定。 5、平时表现成绩低于6分的学生,取消答辩资格,其该课程设计成绩按不 及格处理。 6、课程设计完成后,由指导教师根据完成情况写出总结。 7、此表格式为江苏师范大学物理与电子工程学院提供的基本格式,指导教 师可根据本门课程设计的特点及内容做适当的调整。

一、课程设计目的、任务和内容要求: 通过该课程设计使学生进一步了解和加深智能化仪器设计的一般原则;熟练掌握智能化仪器与装置的软、硬件设计方法;掌握仪器的软件调试及软硬件联合统调方法与技能。掌握仪器的接口技术和程控方法;熟练掌握仪表总线的工作原理、设计步骤、编程及调试;掌握C设计软件的编程与调试方法;掌握网络化仪器设计编程与调试方法。 本课程设计的任务就是设计一个智能交通灯系统。鼓励学生在熟悉基本原理的前提下,与实际应用相联系,提出自己的方案,完善设计。 具体设计任务如下: 1.熟悉QG8芯片与ISD语音模块的工作原理; 2.写出智能交通灯系统的设计方案; 3.用硬件加以实现; 4.写课程设计报告。 设计要求: 1.数码管显示倒计时,且时间可调; 2.红绿灯亮时间与数码管一致; 3.红灯亮时对应语音模块提示; 4.绿灯亮时对应语音模块提示。

基于PLC的智能交通灯控制

1 绪论 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。在城市交通中,交通灯信号是交通网络控制中最重要的元素,今年来城市交通的车流量较大,给交通带来了巨大的压力。提高路口的车辆通行效率,对于缓解路口车辆阻塞,便捷出行具有十分重要的意义,目前的城市交通灯,都是根据车辆的一般通行量预设固定的红绿灯转换周期。这种固定配时模式会导致某个方向的车辆已通行完毕,而另个方向的车辆要有相对较长的时间等待,这样就降低了车辆的通行效率。对此不断有学者用不同的方法,从不同的角度来研究。国内外有宏观和微观两种模型。宏观模型更适于实时模型,短期预测和速度控制等。近年来,有学者提出速度阶梯连续性模型,还利用CA模型优化交通灯控制。 但前者主要侧重于在理论方面阐释,后者把问题简化为单行道的控制,均未对实际问题提出解决方案。还有的学者从宏观角度研究改善交通控制的方法,提出通过检测各车道的车辆数量来调节时长,但只是考虑直行车道,并未解决问题。后来有学者提出直行和左转车道同时运行,但允许同时运行的车道组合教单一,通行效率提高不明显。针对以上情况,在此本文介绍一种新型交通灯智能控制系统。该系统采取车多通行时间长和同时通行的车道不冲突的原则,采用热释电红外传感器测量车辆的数量,在软硬件方面对现行的交通灯控制系统做了进一步的改进,大大提高了运行效率和车辆通行的灵活性和实时性。本方案中具体的设计思路是在入路口的各个方向附近按要求架设红外检测仪,当汽车进入检测区时,能以温度变化的形式影响红外传感器,这样红外传感器就能产生变化的电信号,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长,利用LED数码管显示时间。 1.1 PLC的定义 PLC即可编程控制器(Programmable logic Controller,是指以计算机技术为基础的新型工业控制装置。在1987年国际电工委员会(International Electrical Committee)颁布的PLC标准草案中对PLC做了如下定义:

PLC的智能交通灯控制系统设计..

武汉职业技术学院毕业论文 课题名称:智能交通灯控制系统设计姓名:裴仔 班级:机电11303 专业:机电一体化 指导老师:朱晓玲 时间:2014年3月2日

摘要 针对近年来城市交通的拥挤现象,特别是驾驶员违章严重、交通事故频发、车辆尾气污染等问题,介绍了集计算机、信息、电子及通讯等众多高新技术手段于一体的智能交通指挥中心控制系统。在系统的设计中,主要使用了PLC和传感器相结合的方法,自动获取车辆数据,根据相应流量状况自动增加或减少绿灯时长,进行智能控制。该系统的安装可以大大缓解了城市道路堵塞现象、提高了道路的通行能力。减少了驾驶员违章的次数,抑制了交通事故的发生,同时对减轻车辆尾气排放,从而降低环境污染都起到了不可低估的作用。 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 关键词 plc控制系统交通灯智能控制

目录 1 引言 (4) 1.1 城市的现状 (4) 1.2 研究目的和意义 (4) 1.3 主要研究内容 (5) 2 智能交通灯的理论和方法 (8) 2.1 传感器的选择 (8) 2.2 智能交通信号灯正常控制方式 (8) 2.3 急车强通控制方式 (9) 3PLC硬件设计 (11) 3.1 PLC的结构及工作原理 (11) 3.2 PLC的地址分配 (13) 3.3 I/O分配点数估算 (13) 3.4 PLC的选型 (13) 3.5 PLC硬件电路图 (14) 4 PLC软件编程设计 (15) 4.1 PLC梯形图 (15) 4.2 程序语句表 (17) 结论 (18) 参考文献 (20)

智能交通灯控制系统设计课程设计

智能交通灯控制系统设计课程设计

等湖南工程学院 课程设计 课程名称单片机原理及应用 课题名称智能交通灯控制系统设计 专业自动化 班级1191 学号201101029118 姓名徐瑞先 指导教师王迎旭李晓秀 2013年12 月13 日

湖南工程学院 课程设计任务书 课程名称单片机原理及应用 课题智能交通灯控制系统设计 专业班级自动化1191 学生姓名徐瑞先 学号201101029118 指导老师王迎旭李晓秀 审批 任务书下达日期2013 年12 月02 日任务完成日期2013年12 月13日

设计内容与设计要求 设计内容: 本课题要求以单片机核心,设计一个智能交通灯控制系统。使其能模拟城市十字路口的交通灯功能,并能满足控制的特殊要求(有三个按键输入),以便处理特殊事件。 设计要求: 1)分析开发系统的硬件构成; 2)进行系统的硬件设计; 3)完成必要的参数计算与元器件选择; 4)完成应用程序设计; 5)进行单元电路及应用程序的调试; 6)写出使用说明书。

主要设计条件 1.控制十字路口四个方向的红、黄、绿型号灯的正常工作,规则应符合我国交通法规的规定; 2.南北方向的准行基本时间均为60秒(可在线调整); 3.在交通状况发生特殊情况时,可进行特殊处理: 1)消防车、救护车通过时,按下K1建,关闭倒计时器,四个路口同时黄色灯闪烁5秒然后,转为红灯亮,只允许紧急车辆通过,K1建松开,交通控制回复正常; 2)K2、K3键分别为南北和东西方向车辆多时的特殊处理,功能自行定义。 说明书格式 封面 课程设计任务书 目录 第1章概述(课题设计的要求、目的及意义) 第2章系统总体方案选择与说明(系统硬件电路设计框图与工作原理)第3章硬件电路设计(各部分电路设计、原理、参数计算、I/O分配等)第4章应用软件设计(流程图、算法等) 第5章系统仿真调试 第6章硬件调试与结果分析(开发板焊接、性能测试、结果、操作说明)总结 致谢 参考文献 附录 A 系统硬件电路原理图 附录B 程序清单

智能交通灯模拟控制电路实验报告

智能交通灯模拟控制电路实验报告 (指导老师:李赣平) 一.设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。 二.设计方案 2.1任务分析 模拟交通灯控制器就是使用单片机来控制一些LED和数码管,模拟真实交通灯的功能。 2.2 方案设计 单片机的I/O 接口直接和交通灯(LED)连接。在十字路口的四组红、黄、绿三色交通灯中,东西方向道路上的两组同色灯连接在一起,南北方向道路上的两组同色灯连接在一起,受单片机P0.0~P2.3 口控制。12 个发光二极管采用了共阳极的连接方式,因此I/O 口输出低电平时,与之相连的LED 会亮,I/O 口输出高电平时,与之相连的LED 会灭。 软件方案 根据设计要求,软件可由汇编语言完成,也可由C语言完成。程序流程图如下

程序流程图 开始 东西南北均为红灯,延时4s 南北为绿灯,东西为红灯,延时10s 南北绿灯闪烁6次 南北黄灯亮2s 东西南北均为红灯,延时1s 东西为绿灯,南北为红灯,延时10s 东西绿灯闪烁6次 东西黄灯亮2s 东西南北均为红灯,延时1s

(1)单片机的构造 89SC51 的基本结构89SC51 单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等单元及数据总线、地址总线和控制总线等三大总线,现在分别加以说明。 中央处理器:中央处理器是8 位CPU,含布尔处理器;时钟电路;总线控制逻辑。中央处理器(CPU)是整个单片机的核心部件,是8 位数据宽度的处理器,能处理8 位二进制数据或代码,CPU 负责控制、指挥和调度整个单元系统的工作,完成运算和控制输入输出等操控。 数据存储器(RAM):数据存储器(RAM)有128KB 数据存储器(RAM,可再扩64KB)和特殊功能寄存器SFR。89C51内部有128个8 位用户数据存储单元和128个寄存器单元,他们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放用户数据,所以,用户能使用的RAM 只有128个,可存放读写的数据,运算的中间结果或用户自定义的字型表。 程序存储器(ROM):程序存储器(ROM)有4KB 的程序存储器(ROM/EPROM/Flash,可扩至64KB);89C51共有4096个8位掩膜ROM,用于存放用户程序,原始数据或表格。 定时/计数器(ROM):89CS51 有两个16 位的可编程定时/计数器,一时想定时或计数产生中断用于控制程序转向。 并行输入输出(I/O):89C51 共有4组8位I/O 口(P0、P1、P2 或P3),用于对外数据传输。 中断系统:89C51 具备较完善的中断功能,有两个外中断、两个定时/计数器中断和一个串行中断,可满足不同的控制要求,并具有 2 级优先级别选择。时钟电路:时钟电路:89C51内置最高频率高达12Hz的时钟电路,用于产生整个单片机运行的脉冲时序,但89C51单片接外置振荡电容。 单片机的结构有两种类型:一种是程序存储器和数据存储器分开的形式,单片机的结构有两种类型,一种是程序存储器和数据存储器分开的形式,即哈佛(Harvard)结构,另一种是采用通用计算机广泛使用的程序存储器与数据存储器合二为一的结构,即普林斯顿(Princeton)结构。 89C51单片机的时钟:89SC51 内部有一个高增益反相放大器,用于构成振

智能交通灯控制系统的设计

唐山学院 单片机原理课程设计 题目智能交通灯控制系统的设计 系(部) ****系 班级%$%$% 姓名#### 学号^^^77889 指导教师%%^&&&& 2013 年2 月25 日至 3 月8 日共 2 周 2013年 3 月8 日

课程设计成绩评定表

单片机原理课程设计任务书

摘要 交通灯是现代交通非常重要的一个组成部分,,一套好的交通灯系统往往对提升城市交通运输效率,降低事故发生率有至关重要的影响。 本系统由单片机系统、交通灯演示系统、按键系统、中断系统以及时钟电路、复位电路组成。本文设计的智能交通灯系统采用PROTEUS设计与仿真,程序的编译与调试采用Keil C51来实现。 本文所设计的智能交通灯采用了单片机AT89C51,选用了LED灯和多位数码管来模拟显示的交通灯切换状态。本系统除了实现最基本的交通灯功能以外,还有用来应对紧急情况的功能,这就是中断系统所实现的在有特定需要的情况下实现对交通灯状态的控制。对于提高城市交通效率有非常重要的作用。 关键词:交通灯单片机PROTEUS仿真

目录 摘要................................................................... I 1绪论. (1) 2设计方案简述 (2) 2.1实现主要功能 (2) 2.2设计方案与意义 (2) 3详细设计 (3) 3.1系统硬件电路设计 (3) 3.2 AT89C51芯片简介 (3) 3.3芯片74LS237介绍 (6) 3.4单元电路设计 (7) 3.5系统整体设计电路 (9) 3.6系统软件功能设计 (9) 4PROTEUS与Keil C51的操作 (12) 4.1硬件电路图的接法操作 (12) 4.2单片机系统PROTEUS设计与仿真过程 (13) 4.3仿真结果 (14) 5总结 (18) 参考文献 (19) 附录主要程序代码 (20)

智能交通灯控制系统设计_开题报告

石河子大学信息科学与技术学院 毕业设计开题报告 课题名称:智能交通灯控制系统设计 学生姓名:学号: 指导教师:

2、本课题研究的目的和意义 1)研究目的本课题通过对于智能交通灯控制系统的研究,改善现有交通灯存在的短板。对于一般情况下的安全行车,车辆分流尚能发挥作用,但根据实际行车过程中出现的情况,还存在以下缺点:1.两车道的车辆轮流放行时间相同且固定,在十字路口,经常一个车道为主干道, 车辆较多,放行时间应该长些;另一车道为副干道,车辆较少,放行时间应该短些。2.没 有考虑紧急车通过时,两车道应采取的措施,臂如,消防车执行紧急任务通过时,两车道的车都应停止,让紧急车通过。 2)研究意义 自从1858 年英国人,发明了原始的机械扳手交通灯之后,随后的一百多年里,交通灯改变了交通路况,也在人们日常生活中占据了重要地位,随着人们社会活动日益增加,经济发展,汽车数量急剧增加,城市道路日渐拥挤,交通灯更加显示出了它的功能,使得交通得到有效管制,对于交通疏导,提高道路导通能力,减少交通事故有显著的效果。 近年来,随着科技的飞速发展,电子器件也随之广泛应用,其中单片机也不断深入人民的生活当中。本模拟交通灯系统利用单片机AT89C51作为核心元件,实现了通过信号灯对路面状况的智能控制。从一定程度上解决了交通路口堵塞、车辆停车等待时间不合理、急车强通等问题。系统具有结构简单、可靠性高、成本低、实时性好、安装维护方便等优点,有广泛的应用前景。 积累基于传统交通灯控制系统设计过于死板,红绿灯交替是间过于程式化的缺点,智能交通灯控制系统的设计就更显示出了它的研究意义,它能根据道路交通拥护,交叉路口经常出现拥堵的情况。利用单片机控制技术.提出了软件和硬件设计方案,能够实现道路的最大通行效率。 二、本课题所涉及的问题在国内(外)研究现状及分析 1、国外研究现状 在今天,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19 世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868 年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两色旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1 月2日,煤气灯爆炸,使警察受伤,遂被取消。1914 年,电气启动的红绿灯出现在美国。这种红绿灯由红绿黄三色圆形的投光器组成,安装在纽约市5号大街的一座高塔

相关文档
最新文档