EDA实验指导书

合集下载

EDA实验指导书_2

EDA实验指导书_2

EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。

实验三8位全加器的设计................................错误!未定义书签。

实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。

实验五十六进制七段数码显示译码器设计.错误!未定义书签。

实验六数控分频器的设计.............................错误!未定义书签。

实验七序列检测器的设计.............................错误!未定义书签。

实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。

最后在实验系统上进行硬件测试, 验证本项设计的功能。

2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。

三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。

四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

电子设计自动化(eda)实验指导书

电子设计自动化(eda)实验指导书

电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。

一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。

另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。

于是一场ASIC与FPGA/CPLD之争在所难免。

然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。

EDA技术正是这场较量的推动引擎之一。

一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。

设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。

整个过程,大部分工作由EDA软件完成。

全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。

这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。

另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。

EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。

只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。

ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。

EDA实验指导书

EDA实验指导书

EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。

掌握原理图与文本混合设计方法。

(2) 实验原理:参考教材[1]3.3节。

实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。

说明例中各语句的作用。

给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。

查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。

(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。

引脚锁定后进行编译、下载和硬件测试实验。

将实验过程和实验结果写进实验报告。

硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。

时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。

(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。

(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。

编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。

(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。

EDA实验指导书1209

EDA实验指导书1209

实验一QuartusII软件应用一、实验目的1、熟悉EDA开发平台的基本操作。

2、掌握EDA开发工具的图形设计方法。

3、掌握图形设计的编译与验证方法。

二、实验仪器PC机一台QuartusII软件三、实验内容1、实验原理图:建立一个4-bit 计数器图形设计文件(如图1.1示);图 1.1 图形设计例图利用向导创建一个新器件(6位全加器:使能、流水线等参数自行设定)。

2、实验步骤:①新建一个文件夹,一般在F盘里。

②打开QuartusII软件,选择File/New,在弹出的窗口中选Device Design Files选项卡,再选择Block Diagram/Schematic 选项,单击OK后打开图形编辑窗口。

③选择File/Save As命令,保存文件在已经创建的文件夹里。

当出现询问是否创建工程的窗口,应当单击是进入创建工程流程,否则要重新创建工程把文件添加进去。

④打开工程中的原理图文件,在原理图编辑窗口的任何一个位置右击,将出现快捷菜单,选择Insert /Symbol命令,出现元件输入对话框,选择相应的器件,并连接好电路,然后分别在input和output 的PIN NAME上双击使其变黑色,再分别输入引脚名。

⑤选择Processing/Start Compilation命令,进行全程编译。

⑥打开波形编辑器,选择File/New,在New中选择Other Files中的 Vector Waveform File 选项,单击OK,出现空白的波形编译窗口⑦选择File/Save As命令,存盘。

文件名一定要与原理图文件名一致。

然后添加相应的端口信号节点到波形编辑器中,设置合理的输入信号。

⑧选择Processing/Start Simulation,进行波形仿真。

⑨选择Tools/MegaWizard Plug-In M anager,根据向导提示创建一个6位全加器。

3、实验结果记录:打印出实验原理图与仿真波形图,打印出利用向导创建的新器件的图形,完成实验报告四、实验研究与思考1、延迟时间分析、最高工作频率分析等时间分析有何重要性?2、流水线的作用是什么?对那些性能有影响?2、功能仿真、验证起到什么作用?实验二VHDL软件设计一、实验目的1、熟悉EDA开发平台的基本操作。

EDA实验指导书

EDA实验指导书

实验一熟悉QUARTUS Ⅱ的设计过程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:1、掌握QUARTUSⅡ安装过程;2、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计过程。

二、实验内容及步骤(一)、安装QUARTUS II。

注:第一次安装QUARTUS要安装license。

(二)、QUARTUSⅡ设计开发步骤一、创建工作文件夹在windows中建立一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。

注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。

例如建立的文件夹:E:\mux.二、启动Quartus II点击QUARTUSⅡ7.0图标打开QUARTUSⅡ7.0设计窗口。

三、设计文件输入1、打开输入文件编辑器点击菜单File\new…新建立一个文本设计文件。

用文本输入法输入程序。

程序见附录。

2、保存文件,文件名名同实体名。

后缀.VHD四、逻辑综合1、创建工程点击菜单File\New Project Wizard…….进行工程设置。

2、编译设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。

⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否生成压缩的配置文件。

⑷选择输出设置:保持默认。

⑸选择目标器件闲置引脚的状态:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As output driving groud。

EDA实验指导书全

EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。

2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。

步骤一:1、建立工程,设计输入。

选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。

Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。

不作任何选择。

4、完成设置点击“Next”后,完成工程的设定,点击“finish”。

步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。

VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。

《EDA技术》实验指导书

《EDA技术》实验指导书

EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。

二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。

EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。

启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。

图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。

EDA 实验指导书

EDA  实验指导书

EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。

3(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

ED心验指导书齐鲁理工学院目录实验一Protel DXP 2004认识实验 0实验二两级阻容耦合三极管放大电路原理图设计 0实验三原理图元件库建立与调用 (2)实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6)实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16)实验九组合电路设计 (24)实验一Protel DXP 2004 认识实验一、实验目的1. 掌握Protel DXP 2004的安装、启动和关闭。

2. 了解Protel DXP 2004主窗口的组成和各部分的作用。

3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。

二、实验内容与步骤1、Protel_DXP_2004 的安装(1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件(2) 运行setup\Setup.exe 文件,安装Protel DXP 2004(3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择UnifiedNexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。

修改完成后点击生成协议文件",任意输入一个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。

点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。

将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。

(4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Uselocalize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。

2、Protel_DXP_2004 的卸载卸载Protel_DXP_2004的具体步骤如下:(1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。

从中选择DXP 2004应用软件。

(2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。

(3) 单击“是”按钮,开始卸载。

在卸载过程中,若想终止卸载,可单击“取消”按钮。

3、Protel_DXP_2004 的启动启动Protel_DXP_2004的方法有以下几种:(1) 单击任务栏上的“开始”按钮,在调出的“开始”菜单组中单击" DXP 2004” 菜单项。

(2) 单击任务栏上的“开始”"程序(P) ” r “Altium ”"DXP 2004”菜单项进行启动。

(3) 直接在桌面上双击DXP 2004 快捷图标。

启动主应用程序之后,系统即可进入设计主窗口。

4、P rotel_DXP_2004 的关闭关闭Protel_DXP_2004 主程序的方法有:(1) 选择“ File”菜单,然后在弹出的下拉菜单组中选择“ Exit”菜单项。

(2) 单击主窗口标题栏上的“退出”按钮。

(3) 按下—L T+T4组合键。

在退出Protel DXP2004 主程序时,如果修改了文档而没有保存,则会出现一个对话框,询问用户是否保存文件。

若要保存文件,单击“ Yes”按钮;若不想保存文件,单击“No”按钮;若想退出的操作,单击“Cancel”按钮。

5、设计文件的创建(1) 打开Protel DXP,熟悉Protel DXP的界面组成,更改工作面板的显示方式。

(2) 新建工程文件,并在指定目录下保存为练习1.PrjPCB”。

(3) 在该工程文件中新建印制电路板文件,并保存为印制电路图1.PcbDOC 。

(4) 在该工程文件中新建原理图文件,并保存为练习原理图1.SCHDOC 。

(5) 关闭Protel DXP 2004,再次打开原保存的工程文件练习1.PrjPCB ”。

(6) 向原理图中放置阻值为 3.2k的电阻、容量为1微法的电容、型号为1N4007的二极管、型号为2N3904三极管、单刀单掷开关和4脚连接器。

提示:电阻(RES2)、电容(CAP)、二极管(DIODE )、三极管(NPN)、单刀单掷开关(SW- SPST)在Miscellaneous Devices.IntLib 元件库中,4 脚连接器(HDR1 x 4)在Miscellaneous Connectors.IntLib 元件库中。

图1常用元件符号(7) 向电路图中输入文字"这是我的第一个原理图!",注意将字体改为粗体,字号为三号°提示:利用PLACE菜单放置文字按钮,然后使用键盘上的Tab键,在弹出的窗口输入需要输入的文字,然后单击Change按钮更改字号和字型。

实验二两级阻容耦合三极管放大电路原理图设计、实验目的1、理解原理图的一般设计流程和基本原则2、掌握原理图图纸的设置方法3、掌握原理图库的添加和移除4、掌握原理图元件的放置、位置调整、属性设置、删除、复制、粘贴、选取操作方法5、掌握原理图元件的连线方法,节点放置方法6、掌握电源和接地符号的放置方法二、实验内容绘制两级阻容耦合三极管放大电路原理图如图1所示。

图2两级阻容耦合三极管放大电路原理图三、实验步骤DXP 2004,新建工程文件,并保存为“两级放大.PRJPCB。

1、启动Protel2、新建原理图文件,并保存为“两级放大.SCHDOC3、设置图纸参数。

将图纸设显示标准标题栏,可视栅格为10,捕捉栅格设为20,电气栅格设为7。

4、先移除元件库Miscellaneous Devices.IntLib ,再将其添加到库文件面板中。

表1给出了该电路每个元件样本、元件标号、所届元件库数据。

5、设置元件届性。

在元件放置后,用鼠标双击相应元件出现元件届性菜单更改元件标号及名称(型号规格)。

6、调整元件位置,注意布局合理。

7、连线。

根据电路原理,在元件引脚之间连线。

注意连线平直。

89、放置输入输出点、电源、地,均使用Power Objects 工具菜单即可画出。

10、放置注释文字。

放置图中的注释文字“ +12决11、电路的修饰及整理。

在电路绘制基本完成以后,还需进行相关整理,使其更加规范整洁。

12、保存文件。

一舟舟、注意事项情对丁较复杂的电路而言,放置元件、调整位置及连线等步骤经常是反复交义进行毕盛推统自动放置的(相关设置应有效),而所冷帮b接处的节点必须手动放置。

为什么放置元件前应先加载相应的元件库?实验三原理图元件库建立与调用、实验目的1、 熟悉元件库编辑器2、 掌握原理图元件库的创建、新元件的绘制3、 掌握新建原理图元件库的调用方法二、实验内容(1)在继电器控制系统中,经常需要图1所示的元件,试建立元件库, 元件库编辑器提供的制作工具,画出这些元件。

图1继电器控制系统常用元件(2)利用元件库编辑器提供的制作工具,来绘制(创建)一个 8电路,并将它保存在“ schlibl.lib ”元件库中,绘制的实例如图2所示三、 实验步骤(1) 1 .新建工程文件,并保存为“原理图库.PRJPCB 。

2. 执行菜单命令【File 】/ [Nevi / [Schematic Library 】,将在项目文件 下新建并保存为“新建原理图库1.SCHL 旧”的原理图库文件,并自动进入原理 图库文件编辑器。

3. 执行菜单Tools/New Component 建立新元件。

执行菜单 Tools/Copy Component 拷贝元件。

执行菜单 Tools/Rename Component 更改元件名。

在库文件面板中添加该元件库。

(2) 1、点击菜单“File'New ”命令,从编辑器选择框中选中原理图元件库 编辑器,然后双击库文件图标,默认名为“ schlib.lib ”,进入原理图元件库编 辑工作界面。

2、 使用菜单命令“ View\ZoomIn ”由WlCilageUp®将元件绘图贞的四个象限相交点处放大到足够程度。

3、 用菜单命令“ Place\Rectangle ”绘制一个直角矩形。

4、 绘制元件的引脚。

5、 编辑各管脚届性。

利用脚集成6、保存已绘制好的元件。

四、注意事项在绘制元器件时注意尺寸的把握,不要过大或过小。

五、思考题1、如何对元件位置进行移动和旋转调整?2、怎样编辑原有库中的元件?实验四两级阻容耦合三极管放大电路PCB图设计一、实验目的1、学会元件封装的放置2、熟练掌握PCB绘图工具3、熟悉手工布局、布线二、实验内容设计两级阻容耦合三极管放大电路的PCB图如图所示。

要求尺寸为120mm(4760mil)x 40mm(1580mil)三、实验步骤1、启动Protel DXP 2004 ,新建文件“两级阻容耦合三极管放大电路.PCB',进入PCEH编辑界面。

2、手动规划电路板尺寸。

3、放置元件封装及其他一些实体,并设置元件届性、调整元件位置。

表中给出了该电路所需元件的封装形式、标号及所届元件库数据。

3、按照电路原理图进行布线。

4、观看PCB板3D效果四、思考题如何添加中间信号层和内部板层?如果想调整工作层的位置应如何操作?实验五集成电路的逻辑功能测试一、实验目的1、掌握Multisim软件的使用方法。

2、掌握集成逻辑门的逻辑功能。

3、掌握集成与非门的测试方法。

二、实验原理TTL#成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic )简称TTL电路。

54系歹U的TTL电路和74系列的TTL电路具有完全相同的电路结构和电气性能参数。

所不同的是54 系列比74系列的工作温度范围更宽,电源允许的范围也更大。

74系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V而54系列工作环境温度规定为-55一土1250C,电源电压工作范围为5V± 10%V54H与74H,54S与74S以及54LS与74LS系列的区别也仅在丁工作环境温度与电源电压工作范围不同,就像54系歹0和74系歹0的区别那样。

相关文档
最新文档