EDA 8位乘法器 实验报告

EDA 8位乘法器 实验报告
EDA 8位乘法器 实验报告

南华大学

船山学院

实验报告

(2009 ~2010 学年度第二学期)

课程名称EDA

实验名称8位乘法器

姓名学号

专业计算机科学与

班级01

技术

地点8-212 教师

一、实验目的:

学习和了解八位乘法的原理和过程

二、设计思路:

纯组合逻辑构成的乘法器虽然工作速度比较快,但过于占用硬件资源,难以实现宽位乘法器,基于PLD 器件外接ROM 九九表的乘法器则无法构成单片系统,也不实用。这里介绍由八位加法器构成的以时序逻辑方式设计的八位乘法器,具有一定的实用价值,而且由FPGA 构成实验系统后,可以很容易的用ASIC 大型集成芯片来完成,性价比高,可操作性强。其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。

三、实验逻辑图:

四、实验代码:

1) 选通与门模块的源程序ANDARITH.VHD LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY ANDARITH IS PORT (ABIN:IN STD_LOGIC;

DIN:IN STD_LOGIC_VECTOR (7 DOWNTO 0) DOUT:OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END ANDARITH;ARCHITECTURE ART OF ANDARITH IS BEGIN

PROCESS (ABIN ,DIN) BEGIN

FOR I IN 0 TO 7 LOOP

DOUT (I)<=DIN (I)AND ABIN; END LOOP;

S7[7..0]

S6[7..0]S6(8)

ARIEN D

REG16B

ADDER8B

ARIC TL

SREG8B

ANDARITH

OUT[15..0]

B[7..0]

A[7..0]START

CLK

Q[15..0]

D[8..0]

CLR CLK

GND

S5[7..0]

S6[8..0]

RSTALL

CLKOUT S5[7..0]

S7[15..8]B[7..0]

A[7..0]COUT

S[7..0]CIN DOUT[7..0]DIN[7..0]

ABIN QB

DIN[7..0]

LOAD CLK

ARIEN D CLK

START

U1U2U3

U4

U5

S2S3

S4S7[15..0]

S7[15..8]

S1

END PROCESS;

END ART;

2) 16位锁存器的源程序REG16B.VHDLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY REG16B IS PORT (CLK:IN STD_LOGIC;

CLR:IN STD_LOGIC;

D:IN STD_LOGIC_VECTOR (8 DOWNTO 0)

Q:OUT STD_LOGIC_VECTOR(15 DOWNTO 0));

END REG16B;

ARCHITECTURE ART OF REG16B IS

SIGNAL R16S:STD_LOGIC_VECTOR(15 DOWNTO 0);BEGIN PROCESS (CLK,CLR)

BEGIN

IF CLR = '1' THEN R16S<= "0000000000000000";

ELSIF CLK'EVENT AND CLK = '1'

THEN

R16S(6 DOWNTO 0)<=R16S(7 DOWNTO 1);

R16S(15 DOWNTO 7)<=D;

END IF;

END PROCESS;

Q<=R16S;

END ART;

3) 8位右移寄存器的源程序SREG8B.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY SREG8B IS

PORT (CLK:IN STD_LOGIC; LOAD :IN STD _LOGIC; BIN:IN STD_LOGIC_VECTOR(7DOWNTO 0);

QB:OUT STD_LOGIC );END SREG8B;

ARCHITECTURE ART OF SREG8B IS

SIGNAL REG8B:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN

PROCESS (CLK,LOAD)

BEGIN

IF CLK'EVENT AND CLK= '1' THEN

IF LOAD = '1' THEN REG8<=DIN;

ELSE REG8(6 DOWNTO0)<=REG8(7 DOWNTO 1); END IF;

END IF;

END PROCESS;

QB<= REG8 (0);

END ART;

4) 乘法运算控制器的源程序ARICTL.VHD LIBRARY

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ARICTL IS

PORT ( CLK:IN STD_LOGIC; START:IN

STD_LOGIC;

CLKOUT:OUT STD_LOGIC; RSTALL:OUT

STD_LOGIC;

ARIEND:OUT STD_LOGIC );

END ARICTL;ARCHITECTURE AR T OF ARICTL IS SIGNAL CNT4B:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

RSTALL<=START;

PROCESS (CLK,START)

BEGIN

IF START = '1' THEN CNT4B<= "0000";

ELSIF CLK'EVENT AND CLK = '1' THEN

IF CNT4B<8 THEN

CNT4B=CNT4B+1;

END IF;

END IF;

END PROCESS;

PROCESS (CLK,CNT4B,START)

BEGIN

IF START = '0' THEN

IF CNT4B<8 THEN

CLKOUT <=CLK; ARIEND<= '0';

ELSE CLKOUT <= '0'; ARIEND<= '1';

END IF;

ELSE CLKOUT <=CLK; ARIEND<= '0';

END IF;

END PROCESS;

END ART;

5) 8位乘法器的源程序MUL TI8X8.VHDLIBRARY

IEEE;USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MULTI8X8 IS

PORT(CLK:IN STD_LOGIC;

START:IN STD_LOGIC;

A:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

ARIEND:OUT STD_LOGIC;

DOUT:OUT STD_LOGIC_VECTOR(15 DOWNTO 0)); END MULTI8X8;

ARCHITECTURE ART OF MULTI8X8 IS

COMPONENT ARICTL

PORT(CLK:IN STD_LOGIC;START:IN STD_LOGIC; CLKOUT:OUT STD_LOGIC;RSTALL:OUT STD_LOGIC; ARIEND:OUT STD_LOGIC);

END COMPONENT;COMPONENT ANDARITH

PORT(ABIN:IN STD_LOGIC;

DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT:OUT_STD_LOGIC_VECTOR( 7 DOWNTO 0) ); END COMPONENT;

COMPONENT ADDER8B

...

COMPONENT SREG8B

...

COMPONENT REG16B

...

SIGNAL GNDINT:STD_LOGIC;

SIGNAL

INTCLK:STD_LOGIC;

SIGNAL RSTALL:STD_LOGIC;

SIGNAL QB:STD_LOGIC;

SIGNAL ANDSD:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL DTBIN:STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL DTBOUT:STD_LOGIC_VECTOR(15 DOWNTO 0); BEGIN

DOUT<=DTBOUT;GNDINT<= '0';

U1:ARICTL PORT MAP(CLK=>CLK,START=>STAR T,CLKOUT=>INTCLK,RSTALL=>RSTALL,ARIEND=>ARIEND); U2:SREG8B PORT MAP

(CLK=>INTCLK,LOAD=>RSTALL.

DIN=>B,

QB=>QB);

U3:ANDARITH POR T MAP(ABIN=>QB,DIN=>A,

DOUT=>ANDSD);

U4:ADDER8B POR T

MAP(CIN=>GNDINT,A=>DTBOUT(15 DOWNTO 8),B=>ANDSD,S=>DTBIN(7 DOWNTO 0),COUT

=>DTBIN(8));U5:REG16B POR T MAP(CLK =>INTCLK,CLR=>RSTALL,

D=>DTBIN,

Q=>DTBOUT);

END ART;

五、实验结果:

六、实验心得:

通过本实验基本上了解了八位乘法的工作原理:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。

高频电子技术实验指导书

高频电子技术 实验指导书安阳工学院电子信息与电气工程学院

目录 实验一、小信号调谐放大器 -------------------------------------- 2 实验二、通频带展宽----------------------------------------------5 实验三、LC与晶体振荡器 ---------------------------------------- 8 实验四、幅度调制与解调---------------------------------------- 18 实验五、集成乘法器混频实验 ----------------------------------- 19实验六、变容二极管调频器与相位鉴频器-------------------------22

实验一、小信号调谐放大器 一、实验目的 1)、了解谐振回路的幅频特性分析——通频带与选择性。 2)、了解信号源内阻及负载对谐振回路的影响,并掌握频带的展宽。 3)、掌握放大器的动态范围及其测试方法。 二、实验预习要求 实验前,预习教材选频网络、高频小信号放大器相应章节。 三、实验原理说明 1、小信号调谐放大器基本原理 高频小信号放大器电路是构成无线电设备的主要电路,它的作用是放大 信道中的高频小信号。为使放大信号不失真,放大器必须工作在线性范围内,例如无线电接收机中的高放电路,都是典型的高频窄带小信号放大电路。窄带放大电路中,被放大信号的频带宽度小于或远小于它的中心频率。如在调幅接收机的中放电路中,带宽为9KHz,中心频率为465KHz,相对带宽Δf/f0约为百分之几。因此,高频小信号放大电路的基本类型是选频放大电路,选频放大电路以选频器作为线性放大器的负载,或作为放大器与负载之间的匹配器。它主要由放大器与选频回路两部分构成。用于放大的有源器件可以是半导体三极管,也可以是场效应管,电子管或者是集成运算放大器。用于调谐的选频器件可以是LC谐振回路,也可以是晶体滤波器,陶瓷滤波器,LC集中滤波器,声表面波滤波器等。本实验用三极管作为放大器件,LC谐振回路作为选频器。在分析时,主要用如下参数衡量电路的技术指标:中心频率、增益、噪声系数、灵敏度、通频带与选择性。 单调谐放大电路一般采用LC回路作为选频器的放大电路,它只有一个LC 回路,调谐在一个频率上,并通过变压器耦合输出,图1-1为该电路原理图。 中心频率为f0 带宽为Δf=f2-f1 图1-1. 单调谐放大电路 为了改善调谐电路的频率特性,通常采用双调谐放大电路,其电路如图12-2所示。双调谐放大电路是由两个彼此耦合的单调谐放大回路所组成。它们的谐振C Ec 1 f 0.707 02 1 u

计组-4位乘法器实验报告

实验4位乘法器实验报告 姓名:X XX 学号:X XX 专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无 实验时间:实验地点:指导老师:XXX 一、实验目的和要求 1.熟练掌握乘法器的工作原理和逻辑功能 二、实验内容和原理 实验内容: 根据课本上例3-7的原理,来实现4位移位乘法器的设计。 具体要求:1. 乘数和被乘数都是4位 2. 生成的乘积是8位的 3. 计算中涉及的所有数都是无符号数 4.需要设计重置功能 5.需要分步计算出结果(4位乘数的运算,需要四步算出结果) 实验原理: 1.乘法器原理图

2.本实验的要求: 1.需要设计按钮和相应开关,来增加乘数和被乘数 2.每按一下M13,给一个时钟,数码管的左边两位显示每一步的乘 积 3.4步计算出最终结果后,LED灯亮,按RESET重新开始计算 三、主要仪器设备 1.Spartan-III开发板1套 2.装有ISE的PC机1台 四、操作方法与实验步骤 实验步骤: 1.创建新的工程和新的源文件 2.编写verilog代码(top模块、display模块、乘法运算模块、去抖动模块以及 UCF引脚) 3.进行编译 4.进行Debug 工作,通过编译。

5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 操作方法: TOP: module alu_top(clk, switch, o_seg, o_sel); input wire clk; input wire[4:0] switch; output wire [7:0] o_seg; // 只需七段显示数字,不用小数点 output wire [3:0] o_sel; // 4个数码管的位选 wire[15:0] disp_num; reg [15:0] i_r, i_s; wire [15:0] disp_code; wire o_zf; //zero detector initial begin i_r <= 16'h1122; //0x1122 i_s <= 16'h3344; //0x3344 end alu M1(i_r, i_s, switch[4:2], o_zf, disp_code); display M3(clk, disp_num, o_seg, o_sel); assign disp_num = switch[0]?disp_code:(switch[1] ? i_s : i_r); endmodule

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称:《Java程序设计实验》 班级:信息1202 姓名:方逸梅 学号: 31 指导老师:费玉莲

《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 。 (四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容和要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1.下载、安装并设置Java SDK 软件包。 2.熟悉Eclipse 编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application和Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { "Hello java! 欢迎使用!"); } }

实验三集成混频器研究通信电路与系统实验

实验三 集成混频器的实验研究 一、实验目的 1.了解集成乘积混频器的工作原理及典型电路。 2.了解本振电压幅度和模拟乘法器的偏置电流对混频增益的影响。 3.学习利用直流负反馈改善集成混频器动态工作范围的方法。 4.观察混频器寄生通道干扰现象。 二、实验原理 当本振电压u L 和信号电压u s 皆为小信号(U Lm <<26mV ,U sm <<26mV)时,模拟乘法器的输出电压可表示为[1][4] []t t U U kT q R I u s L s L sm Lm L o )cos()cos(42 0ωωωω++-?? ? ??≈ (2-15) 式中,R L 为负载电阻,I 0为恒流源电流。 当u L 为大信号、u s 为小信号(U Lm 约为100~200mV ,U sm <<26mV)时,模拟乘法器的输出电压是多谐波的,可表示为[1][4] []2 01sin 2cos()cos()22 L o Lm sm L s L s n n I R q u U U t t n kT πωωωωπ∞ =?? ? ??≈?-++ ? ??? ??? ∑ (2-16) 其中最低的一组频率分量(n=1)为 []2 00.637cos()cos()2L o Lm sm L s L s I R q u U U t t kT ωωωω?? ≈-++ ??? (2-17) 式中,相乘因子较Lm u 为小信号时增大。 由上述讨论可知,若模拟乘法器输出端接有带通滤波器,也就是说接有中频为)(S L I ωωω-=的滤波网络作为负载,可取出所需的差频分量来实现混频。 三、实验电路说明 集成混频器的实验电路如图2-7所示。图中,晶体管VT 1与电容C 1、C 2、C 3、C 4及 L 1构成改进型电容三点式振荡电路,作为本地振荡器。晶体管VT 2和VT 3分别构成两级射随器起缓冲隔离作用。本振电压u L 从P1端口馈入,信号电压u s 从P2端口馈入。中频滤波网络为L 2、C 13、C 14构成的并联回路。VT4为缓冲隔离级。 在图2-7所示实验电路中,中频回路调谐于2MHz ,模拟乘法器及其外接元件的作用与前一个实验中的情况相似,只是R w4代替了接在MC1496P 引脚2和引脚3之间的固定反馈电阻R E 。电位器R w5用来调节乘法器的偏置电流I 5。另外,图中的P4端口是由中频回路副方输出的中频电压u I 。 四、实验仪器及设备 1.直流稳压电源 SS3323型 1台 2.数字示波器 DSO-X2012A 型 1台 3.高频信号发生器 TFG6080型 1台 4.数字万用表 DT9202型 1块 5.实验电路板 1块

大学物理实验报告书(共6篇)

篇一:大学物理实验报告1 图片已关闭显示,点此查看 学生实验报告 学院:软件与通信工程学院课程名称:大学物理实验专业班级:通信工程111班姓名:陈益迪学号:0113489 学生实验报告 图片已关闭显示,点此查看 一、实验综述 1、实验目的及要求 1.了解游标卡尺、螺旋测微器的构造,掌握它们的原理,正确读数和使用方法。 2.学会直接测量、间接测量的不确定度的计算与数据处理。 3.学会物理天平的使用。 4.掌握测定固体密度的方法。 2 、实验仪器、设备或软件 1 50分度游标卡尺准确度=0.02mm 最大误差限△仪=±0.02mm 2 螺旋测微器准确度=0.01mm 最大误差△仪=±0.005mm 修正值=0.018mm 3 物理天平 tw-0.5 t天平感度0.02g 最大称量 500g △仪=±0.02g 估读到 0.01g 二、实验过程(实验步骤、记录、数据、分析) 1、实验内容与步骤 1、用游标卡尺测量圆环体的内外径直径和高各6次; 2、用螺旋测微器测钢线的直径7次; 3、用液体静力称衡法测石蜡的密度; 2、实验数据记录表 (1)测圆环体体积 图片已关闭显示,点此查看 (2)测钢丝直径 仪器名称:螺旋测微器(千分尺)准确度=0.01mm估读到0.001mm 图片已关闭显示,点此查看 图片已关闭显示,点此查看 测石蜡的密度 仪器名称:物理天平tw—0.5天平感量: 0.02 g 最大称量500 g 3、数据处理、分析 (1)、计算圆环体的体积 1直接量外径d的a类不确定度sd ,sd=○ sd=0.0161mm=0.02mm 2直接量外径d的b类不确定度u○ d. ud,= ud=0.0155mm=0.02mm 3直接量外径d的合成不确定度σσ○ σd=0.0223mm=0.2mm 4直接量外径d科学测量结果○ d=(21.19±0.02)mm d = 5直接量内径d的a类不确定度s○

20151060042-贾炜光-混频器仿真实验报告

混频器仿真实验 姓名:贾炜光 学号:20151060042 学院:信息学院 专业:通信工程 指导教师:谢汝生

一、实验目的 (1)加深对混频理论方面的理解,提高用程序实现相关信号处理的能力; (2)掌握multisim实现混频器混频的方法和步骤; (3)掌握用muitisim实现混频的设计方法和过程,为以后的设计打下良好的基础。 二.实验原理 混频器将天线上接收到的射频信号与本振产生的信号相乘,cosαcosβ=[cos(α+ β)+cos(α-β)]/2 可以这样理解,α为射频信号频率量,β为本振频率量,产生和差频。当混频的频率等于中频时,这个信号可以通过中频放大器,被放大后,进行峰值检波。检波后的信号被视频放大器进行放大,然后显示出来。由于本振电路的振荡频率随着时间变化,因此频谱分析仪在不同的时间接收的频率是不同的。 混频是指将信号从一个频率变换到另外一个频率的过程 ,其实质是频谱线性搬移的过程。在超外差接收机中 ,混频的目的是保证接收机获得较高的灵敏度 ,足够的放大量和适当的通频带 ,同时又能稳定地工作。混频电路包括三个组成部分 : 本机振荡器、非线性器件、带通滤波器。[1] 由于非线性元件( 如二极管、三极管、场效应管等) 的作用,混频过程中会产生很多的组合频率分量 : p f L ±qf S 。一般来讲 ,其中满足需要的仅仅是 f I =f L -f S 或者是f I =f S -f L 。前者产生中频的方式称为高差式混频 , 后者称为低差式混频。在这里 ,混频过程中产生的一系列组合频率分量经过带通滤波器即可以选择输出相应的中频 ,而其他的频率分量会得到抑制。

实验13 调幅发射与接收完整系统的联调

实验13 调幅发射与接收完整系统的联调 13-1 无线电通信概述 一.无线电通信系统的组成 无线电通信的主要特点是利用电磁波的空间的传播来传递信息,例如将一个地方的语言消息传送到另一个地方。这个任务是由无线电发射设备、无线电接收设备和发射天线、接收天线等来完成的。这些设备和传播的空间,就构成了通常所说的无线电通信系统,图13-1是传送语言消息的无线电系统组成图。 图13-1 发射设备是无线电系统的重要组成部分,它是将电信号变换为适应于空间传播特性的信号的一种装置。它首先要产生频率较高并且具有一定功率的振荡。因为只有频率较高的振荡才能被天线有效地辐射,还需要有一定的功率才可能在空间建立一定强度的电磁场,并传播到较远的地方去。高频功率的产生通常是利用电子管或晶体管,把直流能量转换为高频能量,这是由高频振荡器和高频功率放大器完成的。 通常是经过转换设备如话筒就是最简单的转换设备,把消息转变成电的信号,这种电信号的频率都比较低,不适于直接从天线上辐射。因此,为了传递消息,就要使高频振荡的某一个参数随着上述电信号而变化,这个过程叫做调制。在无线电发射设备中,消息是“记载”在载波上而传送出去的。 接收设备的功能和发射设备相反,它是将经信道传播后接收到的信号恢复成与发送设备输入信号相一致的一种装置。 将接收天线架设在上述电磁波传播所能到达的地方,则通过电磁感应就会在接收天线上得到高频信号的感应电动势,它加到接收设备的输入端。由于接收天线同时处在其它电台所

辐射的电磁场中,因此接收设备的首要任务是从所有信号中选择出需要的信号,而抑制不需要的信号。接收设备另一个任务是将天线上接收到的微弱信号加以放大,放大到所需要的程度。接收设备的最后一个任务是把被放大的高频信号还原为原来的调制信号,例如通过扬声器(喇叭)或耳机还原成原来的声音信号(语言或音乐)。 二.发信机的组成 主振器幅度调制器中间放大器功率放大器 调制器 话筒 图13-2 图13-2画出了调幅发信机原理方框图,在这个图中,发信机由主振器、幅度调制器、中间放大器、功率放大器和调制器组成,电源部分在图上没有画出来。 主振器是用来产生最初的高频振荡,通常振荡功率是很小的,由于整个发信机的频率稳定度由它决定,因此要求它具有准确而稳定的频率。幅度调制器是用来产生调幅波,即将调制信号调制到高频振荡频率上。中间放大器的作用是将幅度调制器输出的功率,放大到功率放大器输入端所要求的大小,功率放大器是发信机最后一级,它的主要作用是在激励信号的频率上,产生足够大的功率送到天线上去,同时滤除不需要的频率(高次谐波),以免造成对其它电台的干扰。调制器实际上就是低频放大器,它的作用是将话音或低频信号放大,供给幅度调制器进行调制所需的电压和功率。 图上各处的信号波形反映了上述各部分的工作过程。 三.接收机的组成 无线电信号的接收过程与发射过程相反,为了提高灵敏度和选择性,无线电接收设备目

8位乘法器实验报告

6.2 8位乘法器的设计 1.实验目的 (1)熟悉isEXPERT/MAX+plusisEXPERT/MAX+plus II/Foudation Series 软件的基本使用方法。 (2)熟悉GW48-CK EDA实验开发系统的基本使用方法。 (3)学习VHDL基本逻辑电路的综合设计。 2.实验内容 设计并调试好由8位加法器构成的以时序逻辑方式设计的8位乘法器。此乘法器通过判断被乘数的位值为1还是零,并通过乘数的左移与上一次和相加的方法,实现了8位乘法的运算,并用GW48-CK EDA实验开发系统进行硬件验证。 3.实验条件 (1)开发设备:Lattice ispEXPERT。 (2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:ispLSI1032E PLCC-84或EPF10K10LC84-3或XCS05/XL PLCC84以及运算控制电路和外部时钟。 4.实验设计 1)系统的原理框图

2)VHDL源程序 (1)选通与门模块的源程序ANDARITH.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ANDARITH IS PORT(ABIN: IN STD_LOGIC; DIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT: OUT STD_LOGIC_vector(7 DOWNTO 0)); END ENTITY ANDARITH; ARCHITECTURE ART OF ANDARITH IS BEGIN PROCESS(ABIN,DIN)IS BEGIN FOR I IN 0 TO 7 LOOP DOUT(I)<=DIN(I)AND ABIN; END LOOP; END PROCESS; END ARCHITECTURE ART; (2)16位锁存器的源程序REG16B.VHD LIBRARY IEEE;

化学实验报告完整版

化学实验报告 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

化学实验报告 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个必修模

电子仿真实验报告之晶体管混频

大连理工大学 本科实验报告 课程名称:电子系统仿真实验 学院(系):信息与通信工程学院 专业:电子与信息工程 班级: 学号: 学生姓名: 2014年月日

一、 实验目的和要求 使用电路分析软件,运用所学知识,设计一个晶体管混频器。要求输入频率为10MHz ,本振频率为16.485MHz 左右,输出频率为6.485MHz 。本振电路为LC 振荡电路。 二、实验原理和内容 混频电路是一种频率变换电路,是时变参量线性电路的一种典型应用。如一个振幅较大的振荡电压(使器件跨导随此频率的电压作周期变化)与幅度较小的差频或和频,完成变频作用。它是一个线性频率谱搬电路。图2.1是其组成模型框图。 中频 图2.1 本地振荡器产生稳定的振荡信号(设其频率为L f )通过晶体管混频电路和输入的高频调幅波信号(设其频率为s f ),由于晶体管的非线性特性,两个信号混合后会产生L f +s f L f -s f 频率的信号,然后通过中频滤波网络,取出L f -s f 频率的信号,调节好L f -s f 的大 小使其差为中频频率,即所需要的中频输出信号。图 2.2调幅前后的频谱图。 图2.2 本次试验本振电路采用LC 振荡电路。其等效原理图为西勒振荡电路,如图2.3所示。 本振电路 非线性器件 输入 中频滤波 输出

图2.3 混频器采用晶体混频电路,其等效电路图如图2.4。 图2.4 三、主要仪器设备 名称型号主要性能参数 电子计算机宏碁V-531,Windows 7 AMD A10-4600M 2.3GHz,2GB 内存 电路分析软件 Multisim.12 多种电路元件,多种虚拟仪 器多种分析方法 表3.1

模拟乘法混频实验报告

模拟乘法混频实验报告 姓名: 学号: 班级: 日期:

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。

模拟乘法器调幅AM、DSB、SSB实验报告

模拟乘法器调幅(AM、DSB、SSB)实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

(完整版)初中生物实验报告单.docx

实验报告单 实验时间年月日(星期)班级学生姓名 实验内容练习使用显微镜 说出显微镜的主要结构的名称和用途。 实验目的练习使用显微镜,学会规范操作显微镜。 尝试使用低倍镜观察到清晰的物像。 实验器材显微镜、写有“上”字的玻片、擦镜纸、纱布。 实验报告单实验时间年月日(星期)班级学 实验内容观察人和动物细胞的基本 学会制作人口腔上皮细胞临时装片。 实验目的用显微镜观察动物细胞的形态结构。 初步学会画细胞结构图。 显微镜、载玻片、盖玻片、0.9%生理盐水、碘液、 实验器材 吸水纸、其他动物细胞的永久装片。 实验步骤 1、取镜安放实 2、对光 3、放置玻片验 标本 步 4、观察 骤 实验步骤 5、收放 结 论 实验过程讨论分析 取显微镜时,左手握 显微镜是贵重仪器,双手取镜是为了。 住,右手托 安放显微镜略偏左的目的是: 住。安放显微镜应略 。 偏。 转动转换器,使低倍物镜对准当外界光源暗时,应选用光圈对准通光孔,同时选 孔。用反光镜。 把要观察的玻片放在 尽量使要观察的标本正对通光孔中央,这样物像容易 上,尽量使要观察的标本正对 在中找到。 中央。 转动粗准焦螺旋,使镜筒缓缓 眼睛应从侧面注视的目的是:避免 。 降,直至为 镜筒上升切忌太快,因为只有在 止,眼睛应从侧面注 位置上,物象才清晰。若镜筒上升太快,极易错过 视。 焦距。 时针转动粗准焦螺旋, 要将视野右下方的物像移到视野中央,则推移装片的 使镜简缓缓上升直到看清物像为 方向是。 止。再转动 “上”字装片在显微镜下呈图像。说明显微镜成像 准焦螺旋,使物像更清晰。 是。 实验过程讨论分析 实验后,把显微镜擦拭干净。 转动转换器使两个物镜。镜 筒降至处,反光镜放在 实 验 成 绩 实验步骤实验过程 为什 ①擦干净载玻片和盖玻片。 ②在载玻片中央,滴一滴 碎屑 实浓度一般是。 抹要均 1、制作人口腔 ③用消毒牙签的一端在口腔 侧壁轻刮几下。 验上皮细胞临时装避免 片。 ④把牙签上附有碎屑的一端, 放在载玻片的水滴中涂抹几下。 步⑤盖上盖玻片。 气泡与 ⑥在盖玻片一侧加在 骤 另一侧用吸水纸吸。 2、是微镜观察 人口腔上皮细胞 实验步骤实验过程讨论分 按生物绘图要求,画出人体口腔上皮细胞的结构 结图,并注明各部分结构的名称。实 验 成 论绩 指导教师: _________________实验教 指导教师: _________________实验教师:_______________

混频器仿真实验报告

混频器实验(虚拟实验) 姓名:郭佩学号:04008307 (一)二极管环形混频电路 傅里叶分析 得到的频谱图为 分析:可以看出信号在900Hz和1100Hz有分量,与理论相符 (二)三极管单平衡混频电路 直流分析

傅里叶分析 一个节点的傅里叶分析的频谱图为 两个节点输出电压的差值的傅里叶分析的频谱图为:

分析:同样在1K的两侧有两个频率分量,900Hz和1100Hz 有源滤波器加入电路后 U IF的傅里叶分析的频谱图为: U out节点的傅里叶分析的频谱图为:

分析:加入滤波器后,会增加有2k和3k附近的频率分量 (三)吉尔伯特单元混频电路 直流分析 傅里叶分析 一个节点的输出电压的傅里叶分析的参数结果与相应变量的频谱图如下: 两个节点输出电压的差值的傅里叶分析的参数结果与相应变量的频谱图为:

分析:1k和3k两侧都有频率分量,有IP3失真 将有源滤波器加入电路 U IF的傅里叶分析的参数结果与相应变量的频谱图为: U out节点的傅里叶分析的参数结果与相应变量的频谱图为:

分析:有源滤波器Uout节点的傅里叶分析的频谱相对于Uif的傅里叶分析的频谱来说,其他频率分量的影响更小,而且Uout节点的输出下混频的频谱明显减小了。输出的电压幅度有一定程度的下降。 思考题: (1)比较在输入相同的本振信号与射频信号的情况下,三极管单平衡混频电路与吉尔伯特混频器两种混频器的仿真结果尤其是傅里叶分析结果的差异,分析其中的原因。若将本振信号都设为1MHz,射频频率设为200kHz,结果有何变化,分析原因。 答:没有改变信号频率时 三极管 吉尔伯特 吉尔伯特混频器没有1k、2k、3k处的频率分量,即没有本振信号的频率分量,只有混频后的频率分量。因为吉尔伯特混频器是双平衡对称电路结果,有差分平衡。 将本振信号频率和射频频率改变后:

实验步骤

实验1 电容三点式LC振荡器 一、仪器、模块: ●LC振荡器模块 ●双踪示波器 ●万用表 二、实验步骤 1、按下开关3K1接通电源 2、西勒振荡电路幅频特性的测量 1)示波器接3TP02,开关3K05拨至右侧 2)开关3K01、3K02、3K03、3K04分别控制3C06(10P)、3C07(50P)、3C08(100P)、3C09(200P)是否接入电路,开关往上拨为接通,往下拨为断开。 3)按照表3-1(a)电容的变化测出与电容相对应的振荡频率和输出电压(峰一峰值VP-P),并将测量结果记于表中。 表3-1(a) 3、克拉泼振荡电路幅频特性的测量 1)示波器接3TP02,开关3K05拨至左侧。 2)按照表3-1(b)电容的变化测出与电容相对应的振荡频率和输出电压(峰一峰值VP-P),并将测量结果记于表中。 表3-1(b)

4、波段覆盖系数的测量(计算) 测量方法:根据测量的幅频特性,以输出电压最大点的频率为基准,即为一边界频率,再找出输出电压下降至1/2处的频率,即为另一边界频率,再由公式求出K。 分别计算西勒振荡电路、克拉波振荡电路的k

实验2 集成乘法器混频器实验 一、仪器、模块: ●集成乘法器混频模块 ●LC振荡与射随放大模块 ●高频信号源 ●双踪示波器 二、实验步骤 1、中频频率的观察 1)信号发生器输出频率为8.8MHZ,幅度Vp-p约为1.5V的等幅波,作为本振信号连接到6P01 2)信号发生器输出频率为6.3MHZ,幅度Vp-p=0.4V的等幅信号,作为射频信号连接到6P02 3)填下表 F L=8.8MHZ Fs=6.3MHZ 4)改变高频信号源的频率,输出中频6TP04的波形如何变化?为什么? 输入6P01的信号不变。 改变输入到6P02的信号的频率,填下表

模拟乘法器调幅(AM、DSB、SSB)实验报告

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

变频器实验报告

实验一变频器的面板操作与运行 一、实验目的和要求 1. 熟悉变频器的面板操作方法。 2. 熟练变频器的功能参数设置。 3. 熟练掌握变频器的正反转、点动、频率调节方法。 4.通过变频器操作面板对电动机的启动、正反转、点动、调速控制。 二、实验仪器和用具 西门子MM420变频器、小型三相异步电动机、电气控制柜、电工工具(1套)、连接导线若干等。 三、实验内容和步骤 1.按要求接线 系统接线如图2-1所示,检查电路正确无误后, 合上主电源开关Q S。 图2-1 变频调速系统电气图 2.参数设置 (1)设定P0010=30和P0970=1,按下P键,开始复位,复位过程大约3min,这样就可保证变频器的参数回复到工厂默认值。 (2)设置电动机参数,为了使电动机与变频器相匹配,需要设置电动机参数。电动机参数设置见表2-2。电动机参数设定完成后,设P0010=0,变频器当前处于准备状态,可正常运行。 表2-2 电动机参数设置

(3)设置面板操作控制参数,见表2-3。 3.变频器运行操作 (1)变频器启动:在变频器的前操作面板上按运行键,变频器将驱动电动机升速,并运行在由P1040所设定的20Hz频率对应的560r∕min的转速上。 (2)正反转及加减速运行:电动机的转速(运行频率)及旋转方向可直接通过按前操作面板上的键∕减少键(▲/▼)来改变。 (3)点动运行:按下变频器前操作面板上的点动键,则变频器驱动电动机升速,并运行在由P1058所设置的正向点动10Hz频率值上。当松开变频器前错做面板上的点动键,则变频器将驱动电动机降速至零。这时,如果按下一变频器前操作面板上的换向键,在重复上述的点动运行操作,电动机可在变频器的驱动下反向点动运行。 (4)电动机停车:在变频器的前操作面板上按停止键,则变频器将驱动电动机降速至零。 四、实验思考 1. 怎样利用变频器操作面板对电动机进行预定时间的启动和停止? 答:P0010=30,P0970=1,变频器恢复出厂设置; P701=0,屏蔽原来端子启动功能; P2800=1,使能内部功能自由块; P2802=1,使能内部定时器; P2849=1,连接定时器启动命令; P2850=1,设定延时时间(假设1s); P2851=1,定时器延时动作方式; P0840=2852.0,连接变频器启动命令。 2. 怎样设置变频器的最大和最小运行频率? 答:P0010=30;P0970=1,按下P键(约10秒),开始复位。 一般P1080=0;电动机运行的最低频率(HZ) P1082=50;电动机运行的最高频率(HZ)。

实验三---集成乘法器幅度调制实验

实验三---集成乘法器幅度调制实验

高频实验报告实验名称:集成乘法器幅度调制实验 南京理工大学紫金学院电光系一、实验目的

a) 通过实验了解集成乘法器幅度调制的工作原理,验证普通调幅波(AM ) 和抑制载波双边带调幅波(AM SC DSB -/)的相关理论。 b) 掌握用集成模拟乘法器MC1496实现AM 和DSB-SC 的方法,并研究调制信 号、载波信号与已调波之间的关系。 c) 掌握在示波器上测量与调整调幅波特性的方法。 二、实验基本原理与电路 1.调幅信号的原理 (一) 普通调幅波(AM )(表达式、波形、频谱、功率) (1).普通调幅波(AM )的表达式、波形 设调制信号为单一频率的余弦波: t U u m Ω=ΩΩcos ,载波信号为 : t U u c cm c ωcos = 普通调幅波(AM )的表达式为AM u =t t U c AM ωcos )()cos 1(t m U a cm Ω+=t c ωcos 式中, a m 称为调幅系数或调幅度。 由于调幅系数a m 与调制电压的振幅成正比,即 m U Ω越大, a m 越大,调幅波 幅度变化越大, 一般 a m 小于或等于1。如果 a m >1,调幅波产生失真,这种情况称为过调幅。 未调制状态调制状态 m a Ucm ω0 Ω 图3-1 调幅波的波形 (2). 普通调幅波(AM )的频谱 普通调幅波(AM )的表达式展开得: t U m t U m t U u c cm a c cm a c cm AM )cos(2 1 )cos(21cos Ω-+Ω++ =ωωω 它由三个高频分量组成。将这三个频率分量用图画出,便可得到图

大学计算机实验报告范例(完整版)

报告编号:YT-FS-1587-65 大学计算机实验报告范例 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

大学计算机实验报告范例(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件 (夹)的选择、新建、移动、复制、删除、重命名的 操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命

名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等 班/王帅、王鹏 3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择

MIX 1

实验十二 集成乘法器混频实验 MIX1 一、实验目的 1.学习混频电路的概念和原理。 2.掌握用集成模拟乘法器构成混频电路的原理。 3.掌握集成模拟乘法器MC1496用于混频电路的方法以及对干涉的测定。 二、实验仪器与设备 1.THEX-1型实验平台、集成乘法器混频实验(MIX1)、LC 与晶体振荡(本振)实验(OSC ) 2.20MHz 双踪示波器、BT5频率扫频仪、万用表 三、实验原理 (一)混频器电路模型 混频器的功能是将载波为fs (高频)的已调波信号不失真地变换为另一载频f I (固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图12-1所示。 混频器常用的的非线性器件有二极管、三极管、场 效应管和乘法器。本振用于产生一个等幅的高频信号u L , 并与输入信号u S 经混频器后所产生的差频信号经带通滤 ωI =ωL -ωS 波器滤出。目前,高质量的通信接收机广泛采用二极管环 图12-1 混频器电路模型 形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟乘法器作混频电路实验。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压u S 和本振电压u L 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。 干扰是由于混频不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜象干扰。 (二)集成模拟乘法器的混频电路 ·? ???÷???¨ ??¨?÷ ± ?????÷u L u I u s

相关文档
最新文档