多种信号发生器设计报告
多功能信号发生器的设计总结与反思

多功能信号发生器的设计总结与反思多功能信号发生器是一种可以生成不同类型信号的设备,常用于电子实验、测试和调试等领域。
设计总结与反思可以从以下几个方面展开:
1. 功能需求:在设计多功能信号发生器之前,需要明确用户的
需求和使用场景,并确定所需的基本功能和附加功能。
设计人员应尽可能满足用户的需求,同时避免过度设计,提高产品的实用性和易用性。
2. 参数选择:选择合适的参数范围对于多功能信号发生器至关
重要,如频率范围、幅度范围、波形类型等。
设计人员需要进行充分的调研和比较,确保选择的参数能够满足绝大多数用户的需求,并具有一定的扩展性。
3. 界面设计:多功能信号发生器通常具有复杂的参数设置和操
作方式,在界面设计上需要简洁直观且易于理解。
考虑到用户体验,设计人员应注重交互设计,提供清晰的操作指导和友好的用户界面。
4. 精度和稳定性:多功能信号发生器的精度和稳定性对于实验
和测试的准确性和可靠性至关重要。
设计人员应注重信号的输出精度、频率稳定性、幅度稳定性等核心参数的优化和校准,确保信号输出的精确度和一致性。
5. 反馈机制:在多功能信号发生器中加入反馈机制可以提供实
时的参数监测和显示,方便用户进行调节和校准。
设计人员应考虑添加合适的传感器和显示装置,以提供实时的反馈信息,帮助用户更好
地掌握和使用设备。
通过对多功能信号发生器设计过程的总结与反思,设计人员可以不断改进产品的性能和用户体验,并满足不同用户的需求。
同时,加强与用户的交流和反馈也是不断优化和完善产品的重要途径。
多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告题目:多功能信号发生器专业:电子信息工程班级:2006级03班小组:第12组学号及姓名:20060075蒋春20060071冯志磊20060070冯浩真指导教师:戴琦琦设计日期:2009-6-19多功能信号发生器设计报告一、设计题目运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。
二、课题分析(1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。
(2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。
(3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。
最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。
同时为了方便观察,应再引出个未经调幅的信号作为对比。
三、设计的具体实现1、系统概述系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。
2、单元电路设计与分析外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。
(1)分频器(DVF)分频器(DVF)的RTL截图分频器(DVF)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT( D_MODE: IN STD_LOGIC_VECTOR(3 DOWNTO 0);D_CLK: IN STD_LOGIC;D_OUT: OUT STD_LOGIC);END DVF;ARCHITECTURE behav of DVF ISSIGNAL FULL: STD_LOGIC;BEGINP_REG: PROCESS(D_CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF D_CLK'EVENT AND D_CLK='1' THENIF CNT8="1111" THENCNT8:=D_MODE;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN D_OUT<='1'; ELSE D_OUT<='0';END IF;END IF;END PROCESS P_DIV;END behav;(2)地址发生器(CNT6B)地址发生器(CNT6B)的RTL截图地址发生器(CNT6B)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT6B ISPORT (C_CLK,C_RST,C_EN : IN STD_LOGIC;C_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0));END CNT6B;ARCHITECTURE behav OF CNT6B ISSIGNAL CQI : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGINPROCESS(C_CLK,C_RST,C_EN)BEGINIF C_RST = '1' THEN CQI <= (OTHERS =>'0') ; --计数器异步复位ELSIF C_CLK'EVENT AND C_CLK='1' THEN --检测时钟上升沿IF C_EN = '1' THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;C_OUT<=CQI;END behav;(3)四个ROM模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)data_rom_sin正弦波的ROM数据:data_rom_sqr方波的ROM数据:data_rom_tri三角波的ROM数据:data_rom_c锯齿波的ROM数据:ROM模块的源程序(以正弦波为例,其余以此类推):LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY data_rom_sin ISPORT( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0);clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END data_rom_sin;ARCHITECTURE SYN OF data_rom_sin ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT altsyncramGENERIC (address_aclr_a : STRING;init_file : STRING;intended_device_family : STRING;lpm_hint : STRING;lpm_type : STRING;numwords_a : NATURAL;operation_mode : STRING;outdata_aclr_a : STRING;outdata_reg_a : STRING;widthad_a : NATURAL;width_a : NATURAL;width_byteena_a : NATURAL);PORT ( clock0 : IN STD_LOGIC ;address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0);q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END COMPONENT;BEGINq <= sub_wire0(7 DOWNTO 0);altsyncram_component : altsyncramGENERIC MAP (address_aclr_a => "NONE",init_file => "data_rom_sin.mif",intended_device_family => "Cyclone",lpm_hint => "ENABLE_RUNTIME_MOD=NO",lpm_type => "altsyncram",numwords_a => 64,operation_mode => "ROM",outdata_aclr_a => "NONE",outdata_reg_a => "CLOCK0",widthad_a => 6,width_a => 8,width_byteena_a => 1 )PORT MAP (clock0 => clock,address_a => address,q_a => sub_wire0);END SYN;(4)四输入多路选择器mux四输入多路选择器mux的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux IS PORT(a,b,c,d: in std_logic_vector(7 downto 0);s: in std_logic_vector(1 downto 0);x:out std_logic_vector(7 downto 0));end mux;architecture archmux of mux isbeginmux4_1: process(a,b,c,d)beginif s="00" then x<=a;elsif s="01" then x<= b;elsif s="10" then x<=c;else x<=d;end if;end process mux4_1;end archmux;四输入多路选择器mux的RTL截图(5)幅度调节单元w幅度调节单元w的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY W ISPORT( W_IN: IN STD_LOGIC_VECTOR(7 DOWNTO 0);W_MODE: IN STD_LOGIC_VECTOR(1 DOWNTO 0);W_OUT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END W;ARCHITECTURE BEHAV OF W ISSIGNAL BUFF:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINW_OUT<=BUFF ;PROCESS( W_IN,W_MODE )BEGINCASE W_MODE ISWHEN "00" => BUFF<=W_IN ;WHEN "01" =>BUFF( 6 DOWNTO 0 )<=W_IN( 7 DOWNTO 1 ) ; BUFF( 7 )<='0';WHEN "10" =>BUFF( 5 DOWNTO 0 )<=W_IN( 7 DOWNTO 2 ) ;BUFF( 7 )<='0';BUFF( 6 )<='0';WHEN OTHERS =>BUFF( 4 DOWNTO 0 )<=W_IN( 7 DOWNTO 3 ) ; BUFF( 7 )<='0';BUFF( 6 )<='0'; BUFF( 5 )<='0';END CASE;END PROCESS;END ARCHITECTURE BEHAV;幅度调节单元w的RTL截图3.总的结构图4.通过逻辑分析仪观察到得波形图四、总结通过本次课程设计既巩固了EDA的一些相关基本知识,又熟悉了QuartusII 软件和相关硬件的相关操作。
多波形信号发生器设计实验报告

多波形信号发生器实验报告1. 背景多波形信号发生器是一种用于产生不同形状、频率和幅度的信号的设备。
它在各种领域中都有广泛的应用,包括电子工程、通信和音频领域。
在实验室中,多波形信号发生器通常用于测试和验证电路的性能。
本实验旨在设计一个多波形信号发生器,并对其进行性能测试和分析。
通过实际搭建和测试,我们将评估所设计的信号发生器的波形质量、频率稳定性、幅度准确性等关键指标,同时寻找可能的改进方向。
2. 设计与分析2.1 设计思路我们的设计思路是基于数字信号处理技术,使用微处理器控制和生成不同波形的信号。
具体来说,我们采用以下步骤来设计多波形信号发生器:1.选择合适的数字信号处理芯片,并与微处理器进行连接。
2.在微处理器上编程,实现不同波形信号的生成算法,如正弦波、方波、三角波等。
3.通过微处理器控制模拟输出电路,将数字信号转换为模拟信号。
4.设计合适的幅度控制电路,使得可以精确控制信号的幅度。
5.设计合适的频率控制电路,使得可以通过微处理器对信号的频率进行调节。
2.2 组件选择和连接首先,我们选择了一款高性能的数字信号处理芯片,并将其与微处理器进行连接。
通过对芯片的编程,我们可以实现生成不同波形的功能。
然后,我们将芯片的数字输出连接到模拟电路的输入端,通过合适的滤波电路进行信号滤波。
同时,将微处理器的控制端与模拟电路的控制电路相连接,以实现对幅度和频率的控制。
2.3 算法设计在微处理器上编写程序,实现不同波形信号的生成算法。
以正弦波为例,我们可以使用如下的算法:#define PI 3.1415926float sin_wave(float amplitude, float frequency, float time){return amplitude * sin(2 * PI * frequency * time);}对于方波和三角波等其他波形,我们可以采用类似的算法进行设计。
2.4 电路设计由于波形质量是信号发生器的重要性能指标之一,我们需要设计合适的模拟电路来提供稳定的、低噪声的模拟输出信号。
多路信号发生器实训报告

一、实训目的本次实训旨在通过设计和制作多路信号发生器,使学生掌握信号发生器的基本原理和设计方法,提高学生的电路设计、制作和调试能力。
同时,通过实训培养学生的团队合作精神,提高学生的实践操作技能。
二、实训内容1. 信号发生器原理信号发生器是一种能够产生正弦波、方波、三角波等标准波形信号的设备。
本实训所设计的多路信号发生器应能输出以下四种波形信号:(1)正弦波信号:频率可调,幅度可调,波形失真度小于5%。
(2)方波信号:频率可调,幅度可调,占空比可调。
(3)三角波信号:频率可调,幅度可调。
(4)锯齿波信号:频率可调,幅度可调。
2. 电路设计根据信号发生器的原理,设计如下电路:(1)正弦波振荡电路:采用LC振荡电路产生正弦波信号。
(2)方波振荡电路:采用555定时器电路产生方波信号。
(3)三角波振荡电路:采用电容充放电电路产生三角波信号。
(4)锯齿波振荡电路:采用积分电路产生锯齿波信号。
3. 电路制作与调试根据设计图纸,制作信号发生器电路板,并进行调试。
调试过程中,检查各电路模块是否正常工作,调整电路参数,使输出波形满足要求。
4. 功能测试完成电路调试后,对信号发生器进行功能测试,验证其输出波形、频率、幅度等参数是否符合设计要求。
三、实训结果与分析1. 电路测试结果经过调试,信号发生器各电路模块均能正常工作,输出波形、频率、幅度等参数满足设计要求。
(1)正弦波信号:频率范围为10Hz~10kHz,幅度可调,波形失真度小于5%。
(2)方波信号:频率范围为1Hz~100kHz,幅度可调,占空比范围为1%~99%。
(3)三角波信号:频率范围为1Hz~100kHz,幅度可调。
(4)锯齿波信号:频率范围为1Hz~100kHz,幅度可调。
2. 分析(1)正弦波信号的产生主要依赖于LC振荡电路,通过调整LC元件的参数,可以改变振荡频率和波形。
(2)方波信号的产生主要依赖于555定时器电路,通过调整定时器的阈值电压和放电电流,可以改变振荡频率和占空比。
多波信号发生器报告(第十五组)

多波信号发生器1.总体设计框图:51单片机波形产生模块放大模块采样模块显示模块键盘模块2.各模块方案选择及论证:1)控制模块:方案一:采用AT89C51单片机作为系统控制器。
本设计中单片机需要对采样信号进行处理,还要控制信号输出以及频率、幅值的显示、51系列单片机可以很容的实现以上功能。
方案二:可编程逻辑器件CPLDCPLD可实现各种复杂的逻辑功能,体积小,性能高,但价格较高,STC89C52体积小,性能高,价格便宜,以扩张,结构简单,最终选择STC89C51。
2)信号发生模块:方案一:采用单片集成芯片MAX038来设计函数信号发生器。
该信号芯片的主要技术指标:频率范围:0.1Hz~20MHz输出信号幅度:2V(p-p)输出波形:正弦波、三角波和矩形波占空比调节范围:方波占空比可在10%~90%范围内调节MAX038精度高且频率调节方便,并且能够产生多种波形,是性价比较高的信号发生芯片。
MAX038芯片具体介绍如下:MAX038的工作频率范围为0.1Hz~20MHz,引脚图如图8所示:图8 MAX038引脚图MAX038使用±5V的电源。
输出幅度为2Vp-p,输出阻抗典型值为0.1Ω,可直接驱动100Ω的负载。
输出的波形由地址A0、A1的输入数据进行选择:A1为1、A0任意,输出波形为正弦波;A0、A1均为0时,输出波形为方波;A1为0、A0为1时,输出波形为三角波。
振荡频率由Cosc引脚的电容量和Iin引脚的电流决定。
在Fadj引脚接地时,Iin引脚的电流变化范围为2.5~750µA;而Fadj 引脚通过一个12kΩ电阻接地时,其电流的变化范围为1.25~400µA。
当Iin引脚的电流在10~400µA范围变化时,电路可以获得最佳的工作性能。
其实当Fadj引脚的电压在±2.4V范围变化时,振荡频率还可以有±70%的变化,据此可以对振荡频率进行精确的调整。
多功能信号发生器报告

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.信息与控制工程学院硬件课程设计说明书多功能信号发生器设计学生学号:学生姓名:专业班级:计算0701指导教师:刘刚职称:副教授起止日期:2010.04.05~2010.04.23吉林化工学院Jilin Institute of Chemical Technology1文档来源为:从网络收集整理.word版本可编辑.文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.课程设计任务书一、设计题目:多功能信号发生器设计二、设计目的:1.学习MSP430F169单片机硬件结构及其程序设计方法,MAX038器件使用方法。
2.掌握MSP430F169系统的设计方法。
3.掌握SPWM信号发生器设计方法。
4.掌握正弦波、三角波信号产生方法。
5.掌握直流稳压电源设计方法。
三、设计任务及要求1.学习MSP430F169单片机等器件的工作原理及各引脚的说明,掌握MSP430F169单片机系统的工作原理和设计方法。
利用MSP430F169等元器件完成单片机系统设计和焊装、调试。
2.使用MAX038芯片实现正弦、三角波信号产生器和单片机测频电路、程序设计。
3.完成基于MSP430F169单片机的SPWM程序设计和SPWM驱动电路硬件焊装、调试。
四、设计时间及进度安排:设计时间共三周(2010.04.06~2010.04.24),具体安排如下表:I文档来源为:从网络收集整理.word版本可编辑.文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.目录课程设计任务书 ···················································································错误!未定义书签。
多功能信号发生器课程设计报告
河南理工大学《单片机应用与仿真训练》设计报告多功能信号发生器设计姓名:张冬波张立中学号:310808010425 310808010426专业班级:电气08-4指导老师:刘巍所在学院:电气工程与自动化学院2011年6月28 日摘要本设计采用基于AT89S52的单片机最小系统为核心,成功产生出幅值和频率都可调的正弦波、梯形波、方波、三角波等波形。
频率范围是0-2000Hz,幅值调节范围-10V到+10V。
本系统主要由四大模块组成:液晶显示模块、波形发生模块及稳幅输出模块,幅频调节模块、及外部电源模块。
各个模块的实现方法如下:一、液晶显示模块:本系统采用应用较广泛的1602液晶作为显示模块。
其显示与控制机理是单片机通过与液晶按照一定的规定相连接,然后再程序中在对液晶进行初始化后,就可以向其写字符或读字符。
二、波形发生模块及稳幅输出模块:产生指定波形可以通过DAC芯片来实现,不同波形产生实质上是对输出的二进制数字量进行相应改变来实现的。
本系统采用的是经典的DAC0832 8位数/模转换器。
稳幅输出则通过两个LM324集成运放来实现对DAC0832输出电流信号到电压信号的转变。
三、幅频调节模块:通过按键与两个门电路74ls00和74ls04的组合来实现通过产生中断来实现对波形的选择和频率的调节。
而幅值调节通过一个10K的电位器来实现参考电压Vref的改变来改变幅值。
四、外部电源模块:变压器将220V交流电降成16V交流后在通过整流桥经过7812和7912滤波后即产生正负12V直流电用作LM324的电源。
本系统软件主要通过C语言开发,硬件电路设计具有典型性。
同时,本系统中任何一部分电路模块均可移植于其它实用开发系统的设计中,电路设计实用性很强。
目录1、概述 (4)1.1 信号发生器现状 (4)1.2 单片机在波形发生器中的应用 (4)2、系统总体方案及硬件设计 (5)2.1 系统分析 (5)2.2 总体方案设计 (6)2.2.1系统总体结构框图设计 (6)2.3 总体硬件设计 (6)2.4系统各模块设计 (7)2.4.1 资源分配 (7)2.4.2显示器接口设计 (7)2.4.3 复位与时钟电路设计 (8)2.4.4 按键中断电路设计 (10)2.4.5 D/A转换电路设计 (10)3、软件设计 (15)3.1软件总体设计 (15)3.2 软件功能设计 (16)3.2.1系统初始化程序设计 (16)3.2.2 按键检测及中断处理程序 (16)3.2.3 液晶显示程序 (17)3.2.4 正弦波发生程序设计 (19)3.2.5方波产生程序 (20)3.2.6三角波产生程序 (20)3.2.7梯形波产生程序 (21)4、实验仿真 (22)4.1 protues软件仿真步骤 (22)4.2 仿真结果 (23)4.3仿真结论 (25)5、课程设计体会 (26)参考文献 (27)附1:源程序代码 (28)附2:系统原理图 (35)1、概述1.1 信号发生器现状. 目前,市场上的信号发生器多种多样,一般按频带分为超高频、高频、低频、超低频、超高频信号发生器。
信号发生器设计与实现实验报告
信号发生器设计与实现实验报告实验报告:信号发生器的设计与实现一、引言信号发生器是一种能够产生各种类型的电信号的仪器,广泛应用于电子测量、通信系统调试、音频设备测试等领域。
本实验旨在设计并实现一个简单的信号发生器,以产生多种类型的电信号,并对其进行相应的测试和分析。
二、设计与实现1. 设计思路信号发生器的设计主要包括以下几个方面的考虑:信号类型的选择、频率范围的确定、输出幅度的调节以及相关控制电路的设计。
在信号类型的选择上,常见的信号类型有正弦波、方波、三角波等。
根据实际需求,本实验选择了正弦波和方波两种信号类型进行设计。
频率范围的确定需要考虑实际应用中最低和最高频率的要求。
在本实验中,我们选择了10Hz到10kHz的频率范围。
输出幅度的调节可以通过控制信号发生器的增益来实现。
本实验采用了可调电阻来控制输出信号的幅度。
相关控制电路的设计包括频率选择电路、幅度调节电路等。
这些电路的设计需要根据信号发生器的具体要求进行选择和设计。
2. 电路设计2.1 正弦波发生电路正弦波发生电路的设计采用了著名的Wien桥电路。
这个电路能够通过调节电容和电阻的比例来产生不同频率的正弦波信号。
2.2 方波发生电路方波发生电路的设计采用了555定时器作为主要的控制元件。
通过控制555的触发电平和放电电平,可以产生不同频率的方波信号。
3. 系统实现根据上述设计思路和电路设计,我们完成了信号发生器的系统实现。
通过逐步调试和优化,确保了系统的正常运行和性能的稳定。
三、实验结果与分析1. 正弦波信号测试通过将信号发生器接入示波器,我们成功地产生了频率为1kHz的正弦波信号。
通过示波器的显示,我们可以清晰地观察到正弦波的周期、幅度和波形等特征。
2. 方波信号测试通过将信号发生器接入示波器,我们成功地产生了频率为5kHz的方波信号。
通过示波器的显示,我们可以清晰地观察到方波的上升时间、下降时间和占空比等特征。
四、实验总结通过本次实验,我们设计并实现了一个简单的信号发生器,能够产生正弦波和方波两种类型的信号。
信号发生器设计(正弦,方波,三角,多用信号发生器)
模拟电路课程设计报告设计课题:信号发生器设计班级:10通信工程三班学生姓名:陶冬波学号:2010550921指导教师:设计时间:目录一、信号发生器摘要--------------------3二、设计目的---------------------3三、设计内容和要求四、设计方案------------------------------------------34.1 RC桥式正弦波产生电路--------------------------------------3 4.2方波产生电路----------------------------------------------------6 4.3三角波产生电路-------------------------------------------------84.4多用信号发生器-------------------------------------------------9五、组装调试及元件清单---------------------------105.1 测试仪器---------------------------------------------------------10 5.2信号发生器元件清单-----------------------------------------------115.3调试中出现的故障、原因及排除方法----------------------11六、总结设计电路,改进措施----------------------116.1 正弦波产生电路改进措施--------------------------------------116.2多用信号发生器改进措施---------------------------------------11七、收获和体会-----------------------------------------12八、参考文献--------------------------------------------12信号发生器设计一、信号发生器设计摘要:本设计介绍了波形发生器的制作和设计过程,并根据输出波形特性研究该电路的可行性。
多波形信号发生器设计
多波形信号发生器设计一、简介设计一个能够产生多个信号输出的信号发生器,要求输出波形分别为方波、三角波、正弦波。
特别适合电子爱好者或学生用示波器来做观察信号波形实验。
该信号发生器电路简单、成本低廉、调整方便。
它是基于ne555计时器接成振荡器工作形式和电容积分而产生的波形。
其工作频率为1KHz左右,调节滑动变阻器可改变振荡器的频率。
波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数。
可见信号源在各种实验应用和试验测试处理中,它的应用非常广泛。
它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。
目前我国己经开始研制波形发生器,并取得了可喜的成果。
但总的来说,我国波形发生器还没有形成真正的产业。
就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。
二、设计目的1、掌握方波—三角波——正弦波函数发生器的原理及设计方法。
2、掌握ne555计时器工作原理和各种电子器件的简单认识。
3、能够独立的进行电路板焊接和电路检查与故障排除。
4、学会用示波器来观察发生器的波形输出并作出判断。
三、硬件介绍及其原理1、元件列表ne555是一种应用特别广泛作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。
ne555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。
ne555时基电路有两种封装形式有,一是dip双列直插8脚封装,另一种是sop-8小型(smd)封装形式。
其他ha17555、lm555、ca555分属不同的公司生产的产品。
内部结构和工作原理都相同。
ne555的内部结构可等效成23个晶体三极管.17个电阻.两个二极管.组成了比较器.RS触发器.等多组单元电路.特别是由三只精度较高5k 电阻构成了一个电阻分压器.为上.下比较器提供基准电压.所以称之为555.ne555属于cmos工艺制造.NE555引脚图介绍如下1地GND2触发3输出4复位5控制电压6门限(阈值)7放电8电源电压Vcc应用十分广泛.下面是一个简单的ne555电路应用内部结构几种工作形式第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1和1.1.2为代号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
模拟电子技术课程设计报告设计题目:多种波形信号发生器设计
专业班级
学号
学生姓名
同组成员
指导教师
设计时间:2011年12月26日~2011年12月30日
目录
1、概述 (3)
1.1、目的 (3)
1.2、课程设计的组成部分 (3)
2、正弦波、方波、三角波设计的内容 (3)
3、总结 (4)
3.1、课程设计进行过程及步骤 (4)
3.2、所遇到的问题及是怎样解决这些问题的 (10)
3.3、体会收获及建议 (10)
3.4、参考文献 (10)
1、概述
1.1、目的
课程设计的目的在于巩固和加强电子技术理论学习,促进其工程应用,着重于提高学生的电子技术实践技能,培养学生综合运用所学知识分析问题和解决问题的能力,了解开展科学实践的程序和基本方法,并逐步形成严肃、认真、一丝不苟、实事求是的科学作风和一定的生产观、经济观和全局观。
1.2、课程设计的组成部分
(1)、RC正弦波振荡电路
(2)、方波—三角波产生电路
2、正弦波、方波—三角波设计的内容
(1)、RC正弦波振荡电路
设计一个RC正弦波振荡电路,其正弦波输出为:
a.振荡频率: 1592 Hz
b.振荡频率测量值与理论值的相对误差<+5%
c.振幅基本稳定
d.振荡波形对称,无明显非线性失真
(2)、方波—三角波产生电路
设计一个用集成运算放大器构成的方波—三角波产生电路。
指标要求如下:方波 a.重复频率:4.35*103 Hz
b.相对误差<+5%
c.脉冲幅度 +(6--8)V
三角波 a.重复频率:4.35*103 Hz
b.相对误差<+5%
c.幅度:6—8V
3、总结
3.1、课程设计进行过程及步骤
1、正弦波
实验参考电路如图
(1)、根据已知条件和设计要求,计算和确定元件参数。
并在实验电路板上搭接电路,检查无误后接通电源,进行调试。
(2)、调节反馈电阻R4,使电路起振且波形失真最小,并观察电阻R4的变化对输出波形V o的影响。
(3)、测量和调节参数,改变振荡频率,直至满足设计要求为止。
测量频率的方法很多。
如直接测量法(频率计,TDS系列数字示波器均可);测周期计算频率法,以及应用李沙育图形法等等。
测量时要求观测并记录运放反相、同相端电压V N、V P和输出电压V o波形的
幅值与相位关系,测出f0,算出A vf与F v。
(4)、参数的确定及元件的选取
A、确定R、C的值
根据设计所需求的振荡频率f o,由式子RC=1/(2πf o)先确定RC 之积。
B、选择集成运算放大器
振荡电路中使用的集成运算放大器除要求输入电阻高、输出电阻低外,最主要的是运算放大器的增益-宽带积应满足G•BW>3f o
C、选择阻容元件
选择阻容元件时,应注意选择稳定性较好的电阻和电容,否则将影响频率的稳定性。
此外,还应对RC串并联网络的元件进行选配,使电路中的电阻电容分别相等。
(5)、主要元、器件
集成运算放大器 1片
1/4W金属膜电阻 10kΩ、20kΩ若干
可调电阻 1kΩ一只
瓷片电容 2只
二极管 2只
(6)、数据处理
根据电路图设计模块选取元件参数分别为:R a=10kΩ、R b=R4+R3、R1=10kΩ、R2=10kΩ、R3=10kΩ、R4为可调电阻;C1=0.01uF、C2=0.01uF。
在满足R1=R2=R,C1=C2=C的条件下,该电路的:
输出正弦波波形:
振荡频率 f0=1/(2πRC)=1592Hz
验证数据 f0=1515Hz
1、方波—三角波
实验参考电路如图
简单的方波—三角波产生电路
常见的方波—三角波产生电路
(1)、根据已知条件和设计要求,计算和确定元件参数。
并在实验
电路板上搭接电路,检查无误后接通电源,进行调试。
(2)、先后用双踪示波器同时观察简单的方波—三角波产生电路输出电压Vc、Vo的波形,及常见的方波—三角波产生电路输出电压Vo1、Vo2的波形,分别记录其幅值、周期以及他们相互之间的相位关系。
(3)、调节积分电阻R(或改变积分电容C),使振荡频率满足设计要求,调节R1/R2的比值,使三角波的幅值满足设计要求。
(4)、参数的确定与元件的选取
A、选择集成运算放大器
由于方波的前后沿与用作开关器件的A的转换速率S有关,因此当输出方波的重复频率较高时。
集成运算放大器A应选用高速运算放大器,一般要求选用通用型运放即可。
B、选择稳压二极管D
稳压二极管D的作用是限制和确定方波的幅度。
因此要根据设计的要求方波幅度来进行选择稳压管的稳定电压V。
此外,方波幅度和宽度的对称性也与稳压管的对称性有关,为了得到对称的方波输出,通常应选用高精度的双向稳压二极管(如2DW7型)。
R为稳压管的限流电阻,其值由所选用的稳压管的稳定电流决定。
C,确定正反馈回路电阻R与R
如上面电路图中所示。
R与R的比值均决定了运算放大器A或A 的触发翻转电平,也就是决定了三角波的输出幅度。
因此根据设计所要求的三角波输出幅度,由以上可以确定R与R的阻值。
D,确定积分时间常数RC
积分元件R、C的参数值应根据方波和三角波所要求的重复频率来确定。
当正反馈回路电阻R、R的阻值确定后,再选取电容C值,求得R。
(5)、主要元、器件
集成运算放大器 1—2片
1/4W金属膜电阻 10kΩ、20kΩ若干
可调电阻 1kΩ 1只
瓷片电容 1只
稳压二极管 2只
(6)数据的处理
a、简单的方波—三角波产生电路根据电路图设计模块选取元件参数分别为R1=10KΩ、R2=20KΩ、R3=1KΩ、R为可调电阻、Dz为Vz=6V 的稳压管。
输出三角波及方波波形为:
简单的方波—三角波波形
该电路的有关计算公式为:
输出三角波Vc的幅度:Vcm=│±R1Vz/(R1+R2)│=2V
检验数据为:Vc=4.8cm*1V/cm*1/2=2.4V
输出方波Vo的幅度:Vom=Vz=6V
检验数据为:Vo=2.8cm*5V/cm*1/2=7V
b、常见的方波—三角波产生电路根据电路图设计模块选取元件参数分别为R1=10KΩ、R2=10KΩ、R3=1KΩ、R p1=10KΩ、R p2=10KΩ、R为可调电阻、电容为C=470pF、Dz为Vz=6V的稳压管。
输出三角波及方波波形为:
常见的方波—三角波波形
该电路的有关计算公式为:
输出方波Vo1的幅度:Vo1m=Vz=6V
检验数据为:Vo1=2.8cm*5V/cm*1/2=7V
输出三角波Vo2的幅度:Vo2m=R1Vz/R2=6V
检验数据为:Vo2=3.2cm*5V/cm*1/2=8V
比较a、b两组波形可以得出用常见的方波—三角波产生电路得到的波形比简单的方波—三角波产生电路得到的波形线性度更好,因此在集成运算放大电路中应优先选择常见的方波—三角波产生电路。
3.2、所遇到的问题及是怎样解决这些问题的
所遇到的问题是对课程设计没有明确清晰地思路,对各种参数的选取不够清楚,对于遇到的这些问题通过老师的解答、查阅课内课外的有关参考资料及网络资料得到了很好的解决。
3.3、体会收获及建议
说实话,课程设计真的有点累.然而,当我一着手清理自己的设计成果,漫漫回味这2周的心路历程,一种少有的成功喜悦即刻使倦意顿消.虽然这是我刚学会走完的第一步,也是人生的一点小小的胜利,然而它令我感到自己成熟的许多,通过课程设计,使我深深体会到,干任何事都必须耐心,细致.
通过这次课程设计,加强了我们动手、思考和解决问题的能力,同时也是我们懂得小心谨慎的重要性。
即使是一根线的接错也会搞得你焦头难额,心情沮丧。
但是,我们一定不能气馁,一定要振作,调整好心态。
要对自己有信心,只有这样才有可能找出错误,达到成功的彼岸。
通过这种综合训练,我们可以掌握电路设计的基本方法,提高动手组织实验的基本技能,培养分析解决电路问题的实际本领,为以后毕业设计和从事电子实验实际工作打下基础。
在做课程设计的同时也是对课本知识的加强和巩固,让我们对本课程有了更深入、详细的了解。
希望学校能更加丰富实验器材,让同学们能有更多亲自动手的机会。