相位差检测电路

相位差检测电路
相位差检测电路

课程设计报告

课程电子测量与虚拟仪器

题目相位差检测电路

系别物理与电子工程学院

年级08级专业电子科学与技术

班级08电科(3)班学号0502083(02 14 23 24)学生姓名崔雪飞陈祥刘刚李从辉

指导教师徐健职称讲师

设计时间2011-4-25~2011-4-29

目录

第一章绪论 (2)

第二章题目及设计要求 (3)

2.1题目要求 (3)

2.2设计要求 (3)

第三章方案设计与论证 (4)

3.1移相电路设计 (4)

3.2检测电路设计 (4)

3.3显示电路设计 (5)

第四章结构框图等设计步骤 (6)

4.1设计流程图 (6)

4.2模块分析 (7)

4.2.1 移相电路 (7)

4.2.2 检测电路 (7)

4.2.3 显示电路 (8)

4.3结果显示 (9)

4.4总电路图 (11)

第五章误差分析 (12)

第六章总结体会 (13)

第七章参考文献 (14)

附录 (15)

第一章绪论

随着电子技术和计算机技术的发展,电子设计自动化(E-DA) 技术使得电子电路设计人员在计算机上能完成各种电路的设计,性能分析和有关参数的测试等大量的工作。Multi-sim2001是加拿大InteractiveImageTechnologies公司2001年推出的Multisim最新版本,是一个专门用于仿真与设计的工具软件,它丰富的元件库中提供数千种电路元件,随时可以调用;它提供了多种测试仪器仪表,可方便的对电路参数进行测试和分析。移相器在新一代移动通信、电子战、有源相控阵和智能天线等系统中获得广泛的应用。移相器在电子系统中的主要作用是调整系统接收 /发射时电路中的信号相位。本文将介绍用Multisim软件的部分集成电路和控制部件等各种元件来完成移相电路的设计和仿真。

使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。

测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。在此课程设计中主要用到的是相位差转换成计数脉冲数进行测量。

第二章题目及设计要求

2.1 题目要求

设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求:

1.设计移相电路;

2.设计检测电路,可以使用MCU或者Labview;

3.使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号进行检测;

4.要求分析系统最后的精度。

2.2 设计要求

1.对电路做必要的理论分析

2.对电路做必要的仿真和模拟,确认仿真结果是否正确

3.对电路进行实物调试,对调试结构进行分析

4.规范的设计报告(提供基本模版)

第三章 方案设计与论证

3.1 移相电路设计

最简单的模拟电路移相是RC 移相和LC 移相,我们一般采用RC 移相电路。 图1用相量图表示了简单串联电路中电阻和电容两端的电压UR 、UC 和输入电压U 的关系,值得注意的是:相量法的适用范围是正弦信号的稳态响应,并且在R 、C 的值都已固定的情况下,由于Xc 的值是频率的函数,因此,同一电路对于不同频率正弦信号的相量图表示并不相同。在这里,同样的移相电路对不同频率信号的移相角度是不会相同的,设计中一定要针对特定的频率进行。

我们一般将RC 与运放联系起来组成有源的移相电路,图2是个典型的可调移相电路,它实际上就是图1中两个移相电路的选择叠加:在图1两个移相电路之后各自增加了一个跟随器,然后用一个电位器和一个加法器进行选择相加。由于输入信号经过RC 电路后,其幅值有一定的衰减,为了达到移相但不改变其幅值,我们在移相电路后追加了相应的放大器,以保证信号波形不变。

3.2 检测电路设计

相位差的测量可以采用多种方法:

一、将两个信号用模拟乘法器做乘法运算,得到的信号通过低通滤波器,将直流量分离出来,直流电压的大小反映了两个信号的相位差。

二、采用两个比较器对信号进行过零比较,然后测量出两个上升沿之间的时间间隔,用时间间隔除以周期再乘以360就可以得到相位差。

u u o

u i

u o

U I 图3.1 简单的RC 移相

一般高精度的相位差测量都是用第二种方法。还有一种就是定性地观察,将两个信号接到双踪示波器的输入,得到李萨如图形,通过图形的形状可以判断相位差大概是是什么程度。另外还可以将相位差转化直流电压或电流信号进行检测。

3.3 显示电路设计

目前广泛使用的是直读式数字相位计,其原理是基于时间间隔测量法,通过相位-时间转换器,将相位差为ψ的两个信号(分别称参考信号和被测信号)转换成一定的时间间隔τ的起始和停止脉冲。然后用电子计数器测量其时间间隔。如果让电子计数器的时钟脉冲频率倍乘36*10n (n 为正整数),则显示值即为以度为单位的相位差值,其简单原理如图所示。也可以用相位—频率转换器,把两信号之间的相位差变成频率,用电子计量器测量。此外可采用相位-电压转换器,把相位转换为电压,用电压表测量。

以上是时间间隔测量基本的原理,其间隔时间为:

T

N t =?

式中,N 是在t ψ时间内计数脉冲的个数;T 0是时标信号周期。

360?

?

=

T

t ??

360f

360T N f

T

N 0

0?

?

?=

?=

?

式中,f 为被测信号频率,f 0为时标信号频率。

若让计数器在1s 内连续计数,即1s 内有f 个门控信号,则其累计数为N 1=f*N.

f

N f

360

?

=

?

f

360

N 0

1N *f ?

=

=?

,则N f

3601

?

=?

若取时标频率f 0=360Hz ,则 )(?==

N N 1

1360

360

? 可见,计数器在1s 内脉冲的累计数就是以度为单位的两个被测信号的相位差。若取f0=360KHz ,则每个计数脉冲表示千分之一度,可以提高测量准确度。

第四章结构框图等设计步骤

4.1 设计流程图

设计流程中的相位检测电路主要用到双D触发器,本设计使用数字式检测显示相位差。

图4.1 设计思路

数字式相位差检测和显示的最大优点在于干扰小,工作稳定。图4.2 给出了设计原理。

移相正弦

图4.2 设计原理

4.2 模块分析

4.2.1 移相电路

本课题使用了两级RC移相器加一个电压跟随器做成了移相电路,使用电容滤波的方法。我们一般将RC与运放联系起来组成有源的移相电路,如图4.2.1所示,一级移相移动相位90度,两级移相180度,故本实验移相范围为0到180度。

图2.2.1 移相电路

4.2.2 检测电路

采用74LS74D触发器将两个输入信号转化为方波信号。如图4.2.2所示,采用了两个D触发器,第一个触发器以源信号正弦波作为时钟信号,第二个触发器以移相后的信号作为时钟信号。由于是边沿触发,故得到了相位差波形为正弦波。

图4.2.2 检测电路

4.2.3 显示电路

本设计使用的是数字检测和数码管显示被检测信号相位差,在设计中我们采用了计数器加锁存器方式来显示数字。使用74HC192作为计数器,捕获单元实现信号的数据采集,接着以74HC373作为锁存器将相位差锁定,最后经过数据处理后通过共阴极数码管显示出来。

4.3 结果显示

(1)如图 4.3.1 所示为Multisim仿真后得到的源信号和移相信号的波形图,源信号的频率为1KHz,移相信号频率也为1KHz,相位较源信号滞后。

图4.3.1 相位差波形图

(2)相位差数字显示

图4.3.2 数码管显示

(3)硬件电路

图4.3.3 硬件电路

(4)示波器显示

图4.3.4 示波器显示移相180度正弦波以及用于计数的方波

图4.3.5 示波器显示移相90度正弦波以及用于计数的方波4.4 总电路图

图4.4 总电路图

第五章误差分析

此次设计使用数字显示相位差度数,故使用的芯片较多,线路也相对来说复杂,但其结果精确,图像稳定。

(1)损耗和寄生效应

测量相位差理论范围是0~180度,数码管显示值最大为999。此次设计最大的特点是将两路信号通过74LS74双稳态触发器转换成一路脉冲信号,而脉冲信号的宽度为信号的相位差,理论上波形相位差对应方波高电平的占空比,但其实际电路由于电阻损耗和电容寄生效应是的电路实际产生的相位差并不准确。

(2)稳定性

由于搭建的实际电路有很多干扰以及电路的寄生效应,使得输入示波器的波形产生不稳定,于是我们在源信号和一级移相和二级移相之后都加如了电压跟随以确保整个环节电压幅度稳定。

(3)显示度数

为了使数字长久的显示在数码管,我们设计了一种基于锁相环倍(分)频的相位差测量仪,该仪器以锁相环倍(分)频电路为核心,实现了工频信号相位差的自动测量及数字显示,误差相对于模拟测量方式比较小。

(4)误差分析

如下图给出了调节变阻器所得的相位检测数据,并给出了相对误差。

相对误差=(读数值–实际值)/ 实际值

表5.1 误差分析

第六章总结体会

上学期我们学了电子测量这门课,其中有一章节就是专门介绍相位差检测,那时我们只知道示波器检测相位差使用的是李沙育图像法,所以一开始我们就想用这种方法,但是后来发现这种方法要使用的电路不是一般电路能够实现的,需要用到大量的芯片。不过经过小组讨论我们知道,使用模拟和数字方法都能够检测相位差。最后参考相关资料,再结合老师的指导,我们设计出用计数器捕获脉冲信号,实现数据采集,经过两级锁存器对数据进行锁存,最终通过数码管直接显示出来。

由于本科阶段时间有限,我们不能很好的更深入的学习电子测量这门课。若想把它学好,自己要私下里多花点功夫,研究探索,并多多请教老师。只有这样多问,多看书,多动手实践,自己的操作能力才能有所真正的提高,并能游刃有余。

平时只有理论知识学习,却缺少必须的动手机会,当然在实验课上,也锻炼了自己的动手能力。可是,毕竟课上时间有限,不能深入的完成实验。要把理论转化为实践是必须的,学生必须尽力为自己寻找一些实验,寻找动手的机会,课程设计为我们提供了这样的机会。

这次的课程设计中,我们最大的收获就是要善于发挥团队合作精神,小组之间分工明确,要敢于坚持自己的观点,多向老师同学请教,以完善设计方案。我们也能够在设计过程中,认识到各自的不足,在以后的学习中要多加改进。

在课程设计中,大家自己独立思考,完成老师布置的题目,学习了很多东西,把自己所学用于实际,自己倍感有成就感。课设期间,遇到问题,独立解决或同学在一起讨论,大家很有目的的做课设,受益匪浅。只是课设时间很紧,不能把课设做的更完美一点。还是真希望课设时间多一点,让自己有更多的思考时间,尽善尽美。

虽然电子测量的课程和课程设计已经结束,但是作为工科学生,有必要加深对Multisim 和Labview的学习,当然还有其它仿真工具的学习。一方面使自己的知识面更广,另一方面,还可以把这些知识应用在其它方面。

第七章参考文献[1] 张乃国.电子测量技术.高等教育出版社.1985

附录

元器件清单:

电阻10KΩ4个

滑动变阻器(0~15 KΩ)2个

电容10nF 2个

电源5V

接地

函数信号发生器1个

示波器2个

放大器LM324 2个

74LS74D触发器1片

74LS192D计数器3个

74HC373DW 4个

方波脉冲(360KHz)1个

74LS04D非门一片

数码管3个

相位差检测电路

课程设计报告 课程电子测量与虚拟仪器 题目相位差检测电路 系别物理与电子工程学院 年级08级专业电子科学与技术 班级08电科(3)班学号0502083(02 14 23 24)学生姓名崔雪飞陈祥刘刚李从辉 指导教师徐健职称讲师 设计时间2011-4-25~2011-4-29

目录 第一章绪论 (2) 第二章题目及设计要求 (3) 2.1题目要求 (3) 2.2设计要求 (3) 第三章方案设计与论证 (4) 3.1移相电路设计 (4) 3.2检测电路设计 (4) 3.3显示电路设计 (5) 第四章结构框图等设计步骤 (6) 4.1设计流程图 (6) 4.2模块分析 (7) 4.2.1 移相电路 (7) 4.2.2 检测电路 (7) 4.2.3 显示电路 (8) 4.3结果显示 (9) 4.4总电路图 (11) 第五章误差分析 (12) 第六章总结体会 (13) 第七章参考文献 (14) 附录 (15)

第一章绪论 随着电子技术和计算机技术的发展,电子设计自动化(E-DA) 技术使得电子电路设计人员在计算机上能完成各种电路的设计,性能分析和有关参数的测试等大量的工作。Multi-sim2001是加拿大InteractiveImageTechnologies公司2001年推出的Multisim最新版本,是一个专门用于仿真与设计的工具软件,它丰富的元件库中提供数千种电路元件,随时可以调用;它提供了多种测试仪器仪表,可方便的对电路参数进行测试和分析。移相器在新一代移动通信、电子战、有源相控阵和智能天线等系统中获得广泛的应用。移相器在电子系统中的主要作用是调整系统接收 /发射时电路中的信号相位。本文将介绍用Multisim软件的部分集成电路和控制部件等各种元件来完成移相电路的设计和仿真。 使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。在此课程设计中主要用到的是相位差转换成计数脉冲数进行测量。

电流采样电路的设计

电流采样电路的设计 文中研制了一套模拟并网发电系统,实现了频率跟踪、最大功率跟踪、相位跟踪、输入欠压保护、输出过流保护、反孤岛效应等功能;采用Atmega16高速单片机,实现了内部集成定时、计数器功能;利用定时器T/C2的快速PWM功能,实现SPWM信号的产生;采用T/C1的输入捕获功能,实现了频率相位监测和跟踪以及对失真度、输入电压、输出电流等物理量的检测与控制。 1 整体方案设计 设计采用Atmega16单片机为主体控制电路,工作过程为:与基准信号同频率、同相位正弦波经过SPWM调制后,输出正弦波脉宽调制信号,经驱动电胳放大,驱动H桥功率管工作,经过滤波器和工频变压器产生于基准信号通频率、同相位的正弦波电流。其中,过流、欠压保护由硬件实现,同步信号采集、频率的采集、控制信号的输出等功能,均由Atmega16完成。系统总体设计框图如图1所示。 2 硬件电路设计 分为DC/AC驱动电路、DC/AC电路和滤波电路3部分和平滑电容C1,电路原理如图2所示。 2.1 DC—AC驱动电路 是由R1、R2、R3、R4、R5、R6、Q3、Q4、P3和P4组成,其中P3和P4是控制信号输入

端,R3和R4为限流电阻。集电极的电流直接影响波形上升沿的陡峭度,集电极电流越大输出的波形越陡峭。因为R2和R1与集电极pn节的寄生电容形成了一个RC充放电的时间常数,集电极pn结的寄生电容无法改变,只有通过改变R1和R2的值来改变时间常数,所以R1和R2值越小,Q3和Q4的集电极电流就越大;RC的充电时间常数越小,波形的上升沿越陡峭,而增加集电极电流,会增加系统的功耗,权衡利弊选择一个合适的值。其次,射级pn 结的寄生电容也会影响Q3和Q4的关断时间和波形上升沿的陡峭度。所以在驱动电路中各加了一个放电回路,即拉地电阻R5和R6,R5和R6的引入,加快了Q3和Q4的关闭速度,这样就使集电极的波形更陡峭。同样在保证基极射极pn不损坏的条件下,基极的电流也是越大越好,但也会带来损耗问题,权衡利弊选择一个合适的值。关于两个电阻的取值,这里假设三极管的放大倍数为β,基极电流Ib,集电极电流Ic,流过R5的电流为I5,流过R3的电流为I3,R3的压降为V3,驱动信号为V,R5的压降为V5,有 实际中R3和R5应该比计算值小,这样是为了让三极管工作在饱和状态,提高系统稳定 性。 2.2 DC-AC电路 是由两只p沟道MOSFET。Q1、Q2和两只n沟道MOSFET Q5、Q6组成。在这里没有采用4只n沟道MOSFET,原因是驱动电路复杂,如果采用上面的驱动电路接近电源的两个导体管不能完全导通,发热量为接近地一侧导体管4倍以上,功耗增加,所以采用对管逆变即减小了功耗,而且驱动电路简单。通过控制4个导体管的开关速度再通过低通滤波器即可实 现DC/AC功能。 2.3 滤波电路 两个肖特基整流二极管1N5822为续流二极管,这里为防止产生负电压,C2、C3、C4、C5、L1、L2组成低通滤波器,其中C5、C6为瓷片电容,C2、C3用电解电容,充放电电流可以流进地,L1、L2为带铁芯的电感,带铁芯的电感对高频的抑制比空心电感更好,电感值 更高。关于参数的选取和截止频率的计算如下 3 采样电路 3.1 电流采样电路的设计 由于终端负载一定,所以电流采样实际等同于一个峰值检测的过程,此电路实际是一个峰值检测电路,P3为信号的2个输入端,调整R10,R11和R17、R18取值来实现峰值测功能,电路中的阻值并不准确,需要实际中根据信号的幅值来调整R10、R11和R17、R18阻值

测量电感及电容上电流和电压的相位差

测量电感及电容上电流和电压的相位差&测量电容上电流和电压 的相位差 上海中学高二(9)王晓欣、徐烨婷 指导教师杨新毅 实验目的:运用TI-83对电容电路进行实验,测量电容电路中电压与电流之间的相位差,了 解电容电感的性质。 实验原理 对于电阻R1,电流与电压成正比。电压v=Vsinωt,则i= Vsinωt /R。由于电阻R1mR1m1与电容串联,因此两者的电流相等。i= i= Vsinωt /R,电容的电流波形图与电阻的电压L1R1m1波形图的周期、初相位都相同,只在幅值上有所不同。因为只需观察电容的电流电压波形图 周期与初相位的关系,因此可以将电阻的电流波形图与电容的电压波形图进行对比,得出电 容的电压与电流的关系。 实验过程 1. 开机方法: ?用专用接线连接TI—83Plus和CBL。 ?按ON键打开TI—83Plus电源。

?按应用功能键APPS,进入Applications界面(见图1)。 图1 按数字键4选择Physics功能(见图2)。 图2 按ENTER回车键,进入主菜单(见图3)。 图3 2. 探头设定: ?将两个电压探头分别插入CH1,CH2两个插口中,打开CBL电源。 ?在Main Menu下按1选择SET UP PROBES,进入探头设定 菜单(见图4)。在NUMBER OF PROBES菜单中按2选择 图4 TWO。 在SELECT PROBE中按7选择MORE(见图5),再按3(见图6)将第一个探头选择为VOLTAGE。按ENTER 重复以上操作,将第二个探头也设为VOLTAGE。回到主菜 图5 单(见图7)。

图6 图7 3. 参数设定 在Main Menu下按2选择2:COLLECT DATA。在DATA COLLECTION中按2选择2:TIME GRAPH(见图8)。 图8 在ENTER TIME BETWEEN SAMPLES IN SECONDS:后输入时间间隔0.0005。在ENTER NUMBER OF SAMPLES:后输入取样个数100(见图9)。 图9 按ENTER对实验设置进行确认(见图10)。 图10 在CONTINUE中按1选择USE TIME SETUP,用以上设置图11 进行实验(见图11)。 4. 连接电路

流量计相位差检测方法

科氏质量流量计相位差检测新方法 郑德智 樊尚春 邢维巍 (北京航空航天大学仪器科学与光电工程学院 北京 100083) 摘要 基于科氏质量流量计的工作机理和实际工作情况下的信号频谱分析,提出了切实可行的相位差检测新方法。设计了改进的FI R数字滤波器,实现了对原始输出信号的实时滤波处理,有效地抑制了噪声的干扰,为科氏质量流量计的高精度测量提供了保证。同时该新方法提高了系统的动态品质。实验结果表明,所提出的方法和设计的信号处理系统具有实用价值。 关键词 科氏质量流量计 FI R滤波器 相位差检测 中图分类号 T H814+.6 文献标识码 A 学科分类与代码 460.4030 The Novel Method of Phase Difference Detection in Coriolis Mass Flowmeter Zheng Dezhi Fan Sha ngchun Xing Weiw ei (School of Instrumentation,Beihang University,Beijing100083,China) Abstract Based on the sensing mechanism of Co riolis mass flow meter and analyzing signal spect rum in actual w orki ng,the nov el applied method is devised fo r phase diff erence det ectio n.The improv ed FIR filt er i s designed and used for fil tering o rigi nal sig nals,so the noi se is rest rained ef fectiv ely and the measurement precision of the mass flowmeter is guaranteed.M eanwhile,the dynamic response perfo rmance of the syst em is improved by this novel method.The experimental resul ts showed that the method is well worthy applying. Key words Co riolis mass flow meter FIR filter Phase dif ference det ection 1 引 言 科里奥利质量流量计(以下简称为科氏质量流量计,即CM F)是一种利用被测流体在振动测量管内产生与质量流量成正比的科氏力为原理所制成的一种直接式质量流量仪表。CM F直接敏感被测流体的质量流量,同时可以检测流体的密度、体积流量,是一种应用广泛的新型多功能流量测量仪表。 图1中双U型管工作在谐振状态,流体在管中沿箭头方向流动。由于哥氏效应(Coriolis Effect)的作用,U型管产生关于中心对称轴的一阶扭转“副振动”。该一阶扭转“副振动”相当于U型管自身的二阶弯曲振动。同时,该“副振动”直接与所流过的“质量流量(kg/s)”成比例。因此,通过检测U型管的“合成振动”在B,B’两点的相位差就可以得到流体的质量流量[1~2] 。 图1 U型管质量流量计工作机理 质量流量和相位差的关系为: Q m k=K h B B(1)式中:Q m 为流过管子的质量流量(kg/s); 第26卷第5期 仪 器 仪 表 学 报 2005年5月本文于2003年9月收到,系国家自然科学基金(60274039)资助项目。

常用电流和电压采样电路

2常用采样电路设计方案比较 配电网静态同步补偿器(DSTATCOM )系统总体硬件结构框图如图2-1所示。由图2-1可知DSTATCOM 的系统硬件大致可以分成三部分,即主电路部分、控制电路部分、以及介于主电路和控制电路之间的检测与驱动电路。其中采样电路包括3路交流电压、6路交流电流、2路直流电压和2路直流电流、电网电压同步信号。3路交流电压采样电路即采样电网三相电压信号;6路交流电流采样电路分别为电网侧三相电流和补偿侧三相电流的电流采样信号;2路直流电压和2路直流电流的采样电路DSTATCOM 的桥式换流电路的直流侧电压信号和电流信号;电网电压同步信号采样电路即电网电压同步信号。 图2-1 DSTATCOM 系统总体硬件结构框图 2.2.11 常用电网电压同步采样电路及其特点 .1 常用电网电压采样电路1 从D-STATCOM 的工作原理可知,当逆变器的输出电压矢量与电网电压矢量幅值大小相等,方向相同时,连接电抗器内没有电流流动,而D-STATCOM 工作在感性或容性状态都可由调节以上两矢量的夹角来进行控制,因此,逆变器输出的电压矢量的幅值及方向的调节都是以电网电压的幅值和方向作为参考的,因此,系统电压与电网电压的同步问题就显得尤为重要。

图2-2 同步信号产生电路1 从图2-2所示同步电路由三部分组成,第一部分是由电阻、电容组成的RC 滤波环节,为减小系统与电网的相位误差,该滤波环节的时间常数应远小于系统的输出频率,即该误差可忽略不计。其中R 5=1K Ω,5pF,则时间常数错误!未 因此符合设计要求;第二部分由电压比较器LM311构成, 实现过零比较;第三部分为上拉箝位电路,之后再经过两个非门,以增强驱动能力,满足TMS320LF2407的输入信号要求。 C 4=1找到引用源。<

基于集成运放的相位差检测电路设计

课程设计名称:电子技术课程设计 题目:基于集成运放的相位差检测 电路设计 学期:2016-2017学年第2学期 专业: 班级: 姓名: 学号: 指导教师: 辽宁工程技术大学

课程设计成绩评定表

摘要 本课程设计主要要求是设计一个基于集成运放的相位差检测电路。整流滤波电路是提供直流电源的。首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。他们先异或,接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。

目录 1、综述 2、原理及技术指标 3、单元电路设计及参数计算 3.1整流滤波电路 3.2 RC移相电路 3.3 555定时器电路 3.4计数器显示部分 3.5 参数计算 4、仿真 5、设计比较 6、结论 7、设计体会 参考文献

1 综述 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=Umsin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为 u 1=U m1sin(ω1t +φ1) u 2=U m2sin(ω2t +φ2) 它们的瞬时相位差为 Θ=(ω1t +φ1)- (ω2t +φ2) =(ω1-ω2)t+(φ1-φ2) 显然,两个角频率不相等的正弦电压(或者电流)之间的瞬时相位差是时间t的函数,它随时间改变而改变。当两正弦电压的角频率ω1=ω2=ω时,有 Θ=φ1-φ2 由此可见,两个频率相同的正弦量间的相位差是常数,等于两正弦量的初相位之差。在实际的工作之中,经常需要研究诸如放大器、滤波器等各种器件的频率特性,即输出、输入信号间的幅度比随频率的变化(幅频特性)和输出、输入信号间的相位差随频率的变化关系(相频特性)。尤其在图像信号传输与处理、多元信号的相干特性显得更为重要。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。

相位差检测

目录 一、题目要求 ........................................................ 错误!未定义书签。 二、方案设计与论证 ............................................ 错误!未定义书签。 移相电路 ......................... 错误!未定义书签。 检测电路 ......................... 错误!未定义书签。 显示电路 ......................... 错误!未定义书签。 三、结构框图等设计步骤................. 错误!未定义书签。 设计流程图........................ 错误!未定义书签。 电路图 ........................... 错误!未定义书签。 移相电路图................... 错误!未定义书签。 检测电路图................... 错误!未定义书签。 显示电路图................... 错误!未定义书签。 四、仿真结果及相关分析................. 错误!未定义书签。 移相效果 ......................... 错误!未定义书签。 相位差波形........................ 错误!未定义书签。 相位差度数........................ 错误!未定义书签。 五、误差分析........................... 错误!未定义书签。 误差分析 ......................... 错误!未定义书签。 六、总结与体会......................... 错误!未定义书签。 七、参考文献........................... 错误!未定义书签。 八、附录............................... 错误!未定义书签。 元器件清单........................ 错误!未定义书签。

各种电压电流采样电路的设计

常用采样电路设计方案比较 配电网静态同步补偿器(DSTATCOM)系统总体硬件结构框图如图2-1所示。由图2-1可知DSTATCOM的系统硬件大致可以分成三部分,即主电路部分、控制电路部分、以及介于主电路和控制电路之间的检测与驱动电路。其中采样电路包括3路交流电压、6路交流电流、2路直流电压和2路直流电流、电网电压同步信号。3路交流电压采样电路即采样电网三相电压信号;6路交流电流采样电路分别为电网侧三相电流和补偿侧三相电流的电流采样信号;2路直流电压和2路直流电流的采样电路DSTATCOM的桥式换流电路的直流侧电压信号和电流信号;电网电压同步信号采样电路即电网电压同步信号。 信号调 理TMS320 LF2407A DSP 键盘显示 电路电压电流信号驱动电路保护电路 控制电路检测与驱动 电路主电路 图2-1 DSTATCOM系统总体硬件结构框图 1.1常用电网电压同步采样电路及其特点 1.1.1 常用电网电压采样电路1 从D-STATCOM的工作原理可知,当逆变器的输出电压矢量与电网电压矢量幅值大小相等,方向相同时,连接电抗器内没有电流流动,而D-STATCOM 工作在感性或容性状态都可由调节以上两矢量的夹角来进行控制,因此,逆变器输出的电压矢量的幅值及方向的调节都是以电网电压的幅值和方向作为参考的,因此,系统电压与电网电压的同步问题就显得尤为重要。

图2-2 同步信号产生电路1 从图2-2所示同步电路由三部分组成,第一部分是由电阻、电容组成的RC滤波环节,为减小系统与电网的相位误差,该滤波环节的时间常数应远小于系统的输出频率,即该误差可忽略不计。其中R5=1K ,C4=15pF,则时间常数错误!未找到引用源。<

FOC控制基于电阻的电流采样方案比较

FOC控制基于电阻的电流采样方案比较 最近有时间把TI ST还有Microchip三家关于PMSM控制中使用电阻采样相的电路看了一下,发现各家都有自己的特点,就做个总结吧。 1.TI C2000系列双电阻采样法 原理说明 在U相和V相的下桥分别串联一个功率电阻,通过一个运放电路连接至A/D。采样时机放在PWM的下溢中断进行,U V两相电阻上的电流即为电机U V相的线电流。 关键点 (1)采样时机: 必须在下桥臂全部导通的时候进行采样。

在软件设计的时候,采用下溢中断(处于第7段和第1段零矢量区域中),将电流采样的任务安排在一个PWM周期的开始处,在比较匹配到来之前的期间,U、V两相的上桥臂都是关断的,也就是说下桥臂是导通的,这样就可以在每个PWM周期顺利采样一次两个相电流值。 (2)采样方式 因为电机绕组线圈呈感性,线圈上的相电流不能突变,因此从矢量U0 转换到零矢量后,其对应的工作状态转换如图所示,其中二极管能起到续流作用,此时,下桥臂采样电阻上流过的是相电流,因此在每个PWM周期前期通过下桥臂的采样电阻检测相电流是可行的。 开关状态为000时电流的流通路径

(3)采样电流电路 从上图可以看出,流经各相采样电阻的电流是正负的,故采样电阻上端的电压是一个带正负信号的正弦波形(下端为地),后级运放电路作用是将整体电压抬高,并且进行比例增益。 2.STM32的方案:三电阻采样法

(1)电流处理: 采样电阻上端采集到的电压是一个带正负的正弦波形,所以其后端一定要接一个运放电路,一方面是滤波,更重要的则是把采集到的信号缩放到AD能采集的电压范围。这个电路可以采用同相比例放大+偏移。 (2)AD触发: 在STM32的高级定时器中,除了产生三相PWM波的CH1,CH2,CH3之外还有一个CH4,这个通道只能产生一路PWM波,它可以用来触发AD,可以比较容易的和前面几个PWM波同步,而且配置好周期能非常灵活的取采样点。(3)相采样选择: 每次需要采集两个电流,采集哪两个电流由SVPWM当前扇区决定。每次只有在下桥臂打开的时候才能进行采样。 (4)干扰Tnoise和Trise: Tnoise是每次开关管打开或者关闭时,对当前采集的相电压的影响时间。Trise 是每次开关管打开的时候该相电流会有一个跳变,需要一段时间来稳定。在这两个时间里面不能采集电流。 (5)SVPWM: SVPWM是FOC算法的最后一步,根据前面运算得到的数据,修改PWM波形输出,从而修正电机的运行,同时确定下次相电流采样的扇区。 [R1]此处与TI方案不同,ST方案根据扇区号来确定当前需要采样的电流相,而TI根据二极管续流可以持续获得稳定的U/V相电流反馈,TI的方法更好

相位差检测

课程设计报告 课程电子测量与虚拟仪器课程设计 题目相位差检测电路 系别物理与电子工程学院 年级2008 专业电子科学与技术班级 2 学号 学生姓名 指导教师职称讲师 设计时间2011-3-28~2011-4-1

第一章绪论 (2) 1.1 相位差检测电路的介绍 (2) 1.2 相位差测量的简单介绍 (2) 第二章相位差检测电路 (3) 2.1 移相电路的设计 (3) 2.2 利用MULTISIM设计检测移相电路 (5) 2.2.1 仿真电路虚拟仪器参数调整 (6) 2.2.2移相电路的仿真与分析 (7) 2.3将相位差信号转换成直流电压信号检测 (9) 2.3.1将相位差信号转换成直流电压信号检测的原理 (9) 2.3.2 电路图及具体原理分析 (9) 2.3.3 仿真过程 (10) 2.3.4 系统测量的误差分析 (12) 主要参考文献 (13) 附录 (13)

第一章绪论 1.1 相位差检测电路的介绍 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求:设计移相电路;设计检测电路,可以使用MCU或者Labview;使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号进行检测;要求分析系统最后的精度。 在此次的电子测量与虚拟仪器课程设计中,我们设计的相位差检测电路主要有两个模块,由这两个模块来实现对相位差的检测并用相应的器件来实现。第一个模块为移相电路,移相电路主要由两个放大器组成。一个放大器可以实现对输入信号进行0~900的移相,那么两个放大器可以实现对输入信号进行0~1800的移相。移相电路的结构比较简单,只要对放大器相应知识进行了解便能很快的设计出移相电路。在移相电路中还应用到了变位器和电容。通过调节变位器可以逐步实现每个度数的相位差;电容的作用则是实现对输入信号的滤波和使放大器工作在稳定的区域。第二个模块则是实现相位差的显示。此部分的模块主要由二极管、异或门以及放大器组成。二极管的作用是使信号工作在正负管压降之间,使电路快速的运行和工作。异或门有三个,异或门的作用主要是实现将信号与基准信号进行比较,将相位差转换成电压差的方法,然后通过电压表将电压显示,最后将电压放大一百倍即使所求的相位差。 1.2 相位差测量的简单介绍 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=U m sin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为

DSP交流采样电路设计..

DSP 交流采样电路设计

1.实验目的 本次实验针对电气工程及其自动化专业及测控专业。通过综合实验,使学生对所学过的DSP在继电保护中的应用有一个系统的认识,并运用自己学过的知识,自己设计模拟继电保护过程实验系统。要求用DSP完成对电网的电压的采样,然后经过DSP的处理,可以对系统继电器的跳合进行控制,自己设计,自己编程,最后自行调试,自行实现自己的设计。在整个试验过程中,摆脱以往由教师设计,检查处理故障的传统做法,由学生完全自己动手,互相查找处理故障,培养学生动手能力。学生试验应做到以下几点: 1. 通过DSP程序的设计模拟继电保护跳闸实验,进一步了解DSP在继电保护中的应用。 2. 通过实验线路的设计,计算及实际操作,使理论与实际相结合,增加感性认识,使书本知识更加巩固。 3. 培养动手能力,增强对DSP运用的能力。 4..培养分析,查找故障的能力。 5. 增加对DSP外围电路的认识。 2.实验设备 DSP板、仿真器、面包板、采样板器件,电烙铁,其它工具。

3.实验原理 1、DSP最小系统电路图

1、模拟电子线路 (一)、电流采样电路的设计

本次电流采样电路选择的电流互感器总共由两级,前一级互感器变比为4A :1A ,第二级互感器采用TA1015-1,其变比为5A:5mA ,也就是1000:1,两级总共的互感器比例为4000:1。 即电流互感器一次侧的电流大小为4A ,二次侧的电流大小为1A ,二级互感器的二次侧电流大小为1mA 。如图3-6,在互感器二次侧并一个1K 的电阻即可将一次侧的4A 的强电流信号变换为二次侧的弱电压信号,其计算公式为: )(0.14000/4/12mA A k i i === (3-1) )(0.1101100.13322V R i u =***==- (3-2) 其峰值为: )(414.10.1222V u u p =*== (3-3) 即电流互感器二次侧输出的电压范围为-1.414V 至+1.414V ,即一次回路里的220V 的工频交流便被线性转化为-1.414V 至+1.414V 。 信号电路共有三级,第一级为偏置放大环节,它能够将交流信号调理成DSP 能准确进行AD 转换的0V 至3.3V 的直流信号。第二级为有源滤波环节,该环节能够滤去信号调理电路里的高频干扰信号。第三极为跟随环节,其输入高阻抗,输出低阻抗,进一步增加了信号调理电路的抗干扰能力。

相位差检测

目录 一、题目要求 (2) 二、方案设计与论证 (2) 2.1移相电路 (2) 2.2检测电路 (2) 2.3显示电路 (3) 三、结构框图等设计步骤 (4) 3.1设计流程图 (4) 3.2电路图 (5) 3.2.1移相电路图 (6) 3.2.2检测电路图 (6) 3.2.3显示电路图 (7) 四、仿真结果及相关分析 (8) 4.1移相效果 (8) 4.2相位差波形 (8) 4.3相位差度数 (8) 五、误差分析 (9) 5.1误差分析 (9) 六、总结与体会 (9) 七、参考文献 (10) 八、附录 (10) 8.1元器件清单 (10)

一、题目要求 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求: 1)设计移相电路; 2)设计检测电路,可以使用MCU或者Labview; 3)使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号 进行检测; 4)要求分析系统最后的精度。 二、方案设计与论证 2.1移相电路 此次相位差检测电路的移相部分主要由RC移相电路构成,而RC移相电路主要利用了电容器的电流超前电压90度这一特性。 RC滞后移相电路是电阻器在前面,电容器在后面。输入信号从电阻器进入,输出信号是从电容器上输出。因为电容器要充电,所以电压要比电流滞后90度,等电容充满电后才有电压。输出电路是与电容器并联电压相等,所以输出电路的电压也滞后电流。RC超前移相电路是电容器在前面,电阻器在后面,电容器一样充电电压会滞后电流90度。 由于输入信号经过RC电路后,其幅值有一定的衰减,为了达到移相但不改变其幅值,我们在移相电路后追加了相应的放大器,以保证信号波形不变。 2.2检测电路 相位差的测量可以采用多种方法:一、将两个信号用模拟乘法器做乘法运算,得到的信号通过低通滤波器,将直流量分离出来,直流电压的大小反映了两个信号的相位差。二、采用两个比较器对信号进行过零比较,然后测量出两个上升沿之间的时间间隔,用时间间隔除以周期再乘以360就可以得到相位差。一般高

电压电流采样电路设计

- 常用采样电路设计方案比较 配电网静态同步补偿器(DSTATCOM)系统总体硬件结构框图如图2-1所示。由图2-1可知DSTATCOM的系统硬件大致可以分成三部分,即主电路部分、控制电路部分、以及介于主电路和控制电路之间的检测与驱动电路。其中采样电路包括3路交流电压、6路交流电流、2路直流电压和2路直流电流、电网电压同步信号。3路交流电压采样电路即采样电网三相电压信号;6路交流电流采样电路分别为电网侧三相电流和补偿侧三相电流的电流采样信号;2路直流电压和2路直流电流的采样电路DSTATCOM的桥式换流电路的直流侧电压信号和电流信号;电网电压同步信号采样电路即电网电压同步信号。 控制电路电路主电路 图2-1 DSTATCOM系统总体硬件结构框图 常用电网电压同步采样电路及其特点 1.1.1 常用电网电压采样电路1 从D-STATCOM的工作原理可知,当逆变器的输出电压矢量与电网电压矢量幅值大小相等,方向相同时,连接电抗器内没有电流流动,而D-STATCOM工作在感性或容性状态都可由调节以上两矢量的夹角来进行控制,因此,逆变器输出的电压矢量的幅值及方向的调节都是以电网电压的幅值和方向作为参考的,因此,系统电压与电网电压的同步问题就显得尤为重要。

图2-2 同步信号产生电路1 】 从图2-2所示同步电路由三部分组成,第一部分是由电阻、电容组成的RC滤波环节,为减小系统与电网的相位误差,该滤波环节的时间常数应远小于系统的输出频率,即该误差可忽略不计。其中R5=1K ,C4=15pF,则时间常数 <

数字相位差测量仪的设计

目录 1.设计任务书。 2.设计方案概述。 3.V/f变换测量相位差角的工作原理。 4.电路的组成及参数选择。 4.1整形电路及信号C的形成。 4.2滤波电路的参任务计划书。 4.3V/f变换电路的设计。 4.4 89C52内部资源的利用。 5.应用实例。 6.结论。 7.总结。 一、设计任务书 (一)任务 设计仿真一数字相位计 (二)主要技术指标与要求: (1)输入信号频率为0HZ~250HZ可调 (2)输入信号的幅度为0.5V (3)采用数码管显示结果,相位精确到0.1° (4)采用外部5V直流电源供电 (三)对课程设计的成果的要求(包括图表) 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书。要求图纸布局合理,符合工程要求,所有的器件的选择要有计算依据。 二、设计方案概述 根椐设计任务书的要求,我们参考了一些相关资料书,经过小组的讨论分析,提出了一种用v/f变换测量交流电的相位差的新方法:首先产生出其幅度正比与相位差大小的直流电,再有v/f变换器转换成反映相位差大小的频率信号,在单片机的配合下,最终得到相位差。这种方法具有分辨率高,适应与大范围的各种输入频率等优点。 正弦交流电电信号相位差的测量可以用多种方法实现。比较直接的数字式测量方法是在已知信号周期的前提下用定时的方法测得相位差角对应的时间,然后根据已知的周期将其换算成相位差角度。但

是,这种方法的测量精度依赖于定时器的精度和分辨率。在信号频率较高或频率虽不高但相位差较小时,都可以出现较大的误差。另外,由于直接测量得到的是时间,相位差角要由这一中间结果与信号的周期运算后才能得到,所以周期的测量不可缺少,其测量的精度也将影响相位差的精度。 在此用一种新的思路进行相位差的测量,用v/f变换器把相位差转换成一个其频率与之成正比的脉冲列,通过计算在一定时间内的脉冲个数测量相位差角。这种测量方法与信号的周期无关,可以得到较高的精度。题达到了0.1的测量精度,与此同时工业运行控制中现场操作,修改和设置等问题也得到了很好的解决,以上这些都在工业运行中得到了厂方的认可。存在的问题主要是本仪器通用性很不强,很难在更大的范围应用和推广,只能运用与某些特定的企业。今后的工作主要硬件和软件的改进上,列入增加一些通用行很强的功能模块。 3.V/f变换测量相位差角的工作原理 首先将输入的两个同频率但存在着相位差的信号进行整形,使之变成方波。如图1示A和B 再对A,B进行异或处理, 异或输出信号C 的脉冲宽度则反映相位差角.C 的脉宽T1对应的电角度是相位差角,C 的周期T2 是信号周期T 的1/2.如果信号角频率为w 则T1= /w. C为幅值为U 的方波其平均值Ud=UT1/T2=U 由此可见,C 的平均值( 亦即直流分量)仅与相位差角和脉冲幅 度有关与信号周期无关

PMSM电机矢量控制之电流采样原理分析复习过程

P M S M电机矢量控制之电流采样原理分析

PMSM 电机矢量控制之电流采样原理分析 摘要:本文分析了PMSM 电机磁场定向控制(FOC)器的电流采集硬件电路,包括母线电流采样和相线电流采样的电路分析。以下电路是业界常用、稳定、经典的不二之选,工作之余,在此与同僚分享一下。 156 21R Uop Up R Up V cc -=-----------------------------------------(1-1) 158 N 157N O R U R U U =--------------------------------------------------(1-2) P N U U =-----------------------------------------------------------(1-3) 整理后,代入数值得: 230 U 220V 10U OP CC P +=-----------------------------------------(1-4) O N U 11.21.2U =----------------------------------------------------(1-5) P N U U =-----------------------------------------------------------(1-6) 最终,推出: OP O U 8.93V 1.34U +=-----------------------------------------(1-7)

一、当MOS 管IRFB3607处于正向导通状态时,电流在一定范围内会使二极管D13处于不导通状态(Up-Un<0.7V),但是电流超过阈值后,便会使二极管D13导通,并将电压嵌制在0.7V 。当MOS 管IRFB3607处于反向导通状态时,其体二极管也起到电压嵌制作用,电路工作原理相似。 56 92BM P 93P CC R R U U R U V +-=----------------------------------------------------------------------(2-1) 132 OP N 140N O R U U R U U -=----------------------------------------------------------------------(2-2) P N U U =---------------------------------------------------------------------------------------(2-3) 令15692R R R =+,代入上式(2-1),整理得: 93 1BM 93CC 1P R R U R V R U ++=----------------------------------------------------------------------(2-4) 140132OP 140O 132N R R U R U R U ++= --------------------------------------------------------------------(2-5)

相位差测量电路设计

本科毕业设计 ( 2015届) 题目:相位差测量电路的设计 学院:机电工程学院 专业:自动化 学生姓名:学号: 指导教师:职称(学位):讲师 合作导师:职称(学位):完成时间:2015 年 5 月 28日 成绩: 黄山学院教务处制

原创性声明 兹呈交的设计作品,是本人在指导老师指导下独立完成的成果。本人在设计中参考的其他个人或集体的成果,均在设计作品文字说明中以明确方式标明。本人依法享有和承担由此设计作品而产生的权利和责任。 声明人(签名): 年月日

目录 摘要.................................................................................................错误!未定义书签。英文摘要.?错误!未定义书签。 1 绪论?1 1.1研究背景及意义?错误!未定义书签。 1.2 发展现状和发展趋势?错误!未定义书签。 1.2.1国外发展状况........................................................错误!未定义书签。 1.2.2 国内发展状况?错误!未定义书签。 1.2.3 发展趋势........................................................................错误!未定义书签。 2 相位差测量的基本原理.............................................................错误!未定义书签。 2.1 相位的基本概念.............................................................错误!未定义书签。 2.2相位差测量原理?错误!未定义书签。 2.3 电路设计原理...................................................................错误!未定义书签。3设计与分析.. (6) 3.1 移相电路...........................................................................错误!未定义书签。 3.1.1 方案分析?错误!未定义书签。 3.1.2 移相电路设计................................................................错误!未定义书签。 3.2 检测电路?错误!未定义书签。 3.2.1 方案分析?错误!未定义书签。 3.2.2 检测电路设计?错误!未定义书签。 3.2.3 LM339特性分析?错误!未定义书签。 3.2.4 双稳态触发器?错误!未定义书签。 3.3 计数显示电路................................................................错误!未定义书签。 3.3.1 方案分析?错误!未定义书签。 3.3.2 计数显示电路设计......................................................错误!未定义书签。 3.3.3 数码管工作原理?错误!未定义书签。 4 仿真与调试?错误!未定义书签。 5实验分析...................................................................................错误!未定义书签。总结?错误!未定义书签。 参考文献?错误!未定义书签。 致谢?错误!未定义书签。 附录?错误!未定义书签。

相关文档
最新文档