实验十_基于运放的信号发生器设计 (1)

实验十_基于运放的信号发生器设计 (1)
实验十_基于运放的信号发生器设计 (1)

模拟电子技术实验

实验十:基于运放的信号发生器设计一、课题引言

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

二、设计要求

本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激振荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。

基本要求

1.采用经典振荡电路,产生正弦信号,频率范围100Hz-10kHz。

2.双电源供电:

信号经过放大、驱动电路,可在1kΩ负载条件下:

(1)正弦波最大峰-峰值3V,幅值可调,谐波失真小于3%;

(2)三角波最大峰-峰值5V,幅值可调,非线性失真小于2%;

(3)方波最大峰-峰值15V,幅值可调,方波上升时间小于2μs;

扩展要求

1.单电源供电;

2.扩大信号频率范围;

3.增加输出功率;

4.具有输出频率的显示功能。

三、设计框图

图2.3 设计框图

四、设计方案

(一)RC正弦波振荡电路

采用典型的文氏桥振荡电路。振荡电路主要由基本放大电路、选频网络、正反馈网络和稳幅环节四部分组成。其中基本放大电路保证电路能够有从起振到动态平衡的过程,使电路获得一定幅值的输出量,实现能量的控制;选频网络是确定电路的振荡频率,使电路产生单一频率的振荡,即保证电路产生正弦波振荡;正反馈网络的作用是在振荡电路中,当没有输入信号的情况下,引入正反馈信号作为输入信号。稳幅环节,即非线性环节,作用是使输出信号幅值稳定。经典电路图如下:

图2.4.1 文氏桥振荡电路

1.工作原理 (1)放大电路

正弦波发生条件: 起振条件: 幅值平衡条件: (2)选频网络及正反馈网络:两组R 、C 为串、并联选频网络,接于运算放大器的输出与同相输入端之间,构成正反馈,以产生正弦自激振荡。R3、RW 及R4组成负反馈网络,调节RW 可改变负反馈的反馈系数,从而调节放大电路的电压增益,使电压增益满足振荡的幅度条件。

(3)稳幅环节:由于U0和Uf 具有良好的线性关系,所以为了稳定输出电压的幅度,一般在电路中加入非线性环节。当输出电压的幅度较小时,电阻R4两端的电压低,二极管D1、D2截止;当输出电压的幅度增加到一定程度时,二极管D1、D2在正负半周轮流工作,其动态电阻与R4并联,使负反馈系数加大,电压增益下降。输出电压的幅度越大,二极管的动态电阻越小,电压增益也越小,输出电压的幅度保持基本稳定。如下图:

图2.4.2 稳幅电路

综上所述,文氏桥实用工作电路如下图:

图2.4.3 文氏桥实用电路

π

??n F A 2=+1

||=AF

2.实际问题

现实中由于电阻电容的误差等原因,依照上图连接起来的电路产生正弦波的效果并不是十分理想,正弦波出现了失真现象

(1)“刺突状”失真。如下图所示:

图2.4.4 刺突

这种失真在使用集成运放LM324制作正弦振荡器时普遍存在的问题,一个简单而有效地解决办法是用一个适当阻值的电阻连接在输出端与负电源VEE之间,这样可以改善输出端波形的失真,而且随着频率的改变信号的幅度基本稳定。(2)削平失真。正弦波削平失真的明显特点是波形顶部变直,波形幅度很大,接近电源电压。造成这种失真的原因大多是反馈电阻值过大,使电路的增益过大,致使输出电压峰值太大,严重时会随着反馈电阻值的增大输出波形变得极像方波。解决这种失真的方法是减小反馈网络的总电阻。而过分的减小又将使电路不能起振,因此它的大小十分关键,在不确定电阻值大小的情况下我们选择了使用精密电位器代替,通过精密电位器最终将波形调到一个最好的效果。

(3)停振现象。在实际制作中,由于元器件本身的质量和精度问题,也会使振荡器的效果大打折扣。在电路中,我们需要调节同轴双联电位器来改变输出正弦波的频率。但是实际中,我们发现双联同轴电位器的两个组织并不能时刻保持相等,而是有一个差值,有时候这个差值还很大,差值的存在造成了振荡器在高频的时候出现停振现象,也就是说,振荡器的输出信号不能达到较高的频率。在这种情况下我们当然可以更换精度和质量更好的双联同轴电位器来解决。但为了节省成本,我们的解决方案是:用两个小电阻分别与双联同轴电位器的两个电阻串联,停振问题就可以得到很好的解决,从而使振荡器的频率得到显著提高。经过对原理图的改进,得到如下图所示的振荡电路:

图 2.4.5 修正振荡电路Multisim仿真图如下:

图2.4.6 实际电路图

波形图如下:

图2.4.7 振荡电路波形图

(二)过零比较器

利用电路的自激振荡产生正弦波后在输出端连一个比较器就可以得到方波信号。

过零比较器的电路图如下图所示

图2.4.8 过零比较器电路

在实用电路中为了满足负载的需要,常在集成运放的输出端加稳压管限幅电路,从而获得合适的UOL和UOH,电路图如下:

图2.4.9 加入稳幅的过零比较器

我们把上述电路图稍加改善,在输出端与地线直接加一个电位器,可以达到输出的方波幅值可调的的效果,改动后的Multisim 仿真图如下:

图2.4.10 加入幅值可调的过零比较器

(三)反相比例放大器

在实际连接电路完成后我们发现,这样产生的方波幅值达不到题目要求的最大峰值15V 。于是在过零比较器的输出端加一个放大电路。利用模电里学过的知识,通过加一个反相比例运算电路进行幅值放大的目的。反相比例运算电路满足

I f O u R R u 1

-

=

图2.4.11 反相比例放大电路

其中Rf是一个精密电位器,通过调节电位器可以达到波形幅值放大的目的。放大的方波幅值可以达到峰-峰值为15V。

Multisim仿真电路如下:

图2.4.12 反相比例放大电路仿真图

波形如下:

图2.4.13 过零比较器、反相比例放大电路波形对比图

红色:过零比较器波形

蓝色:反相放大器波形

(四)积分器

利用积分电路可以实现方波 三角波的波形变换。积分电路的幅值主要由R和C

决定。由公式可知,u o反比于RC的乘积。为了防止低频信号增益过大,常在电容上并联一个电阻加以限制,并抑制零漂。电容C的选择决定电路是否起振,十分重要。反相输入端的电阻主要用于调节电路的失真问题。

图2.4.14 积分电路

波形如下:

图2.4.15 积分电路输入、输出波形对比图

Multisim仿真图如下:

图2.4.16 积分电路仿真图

波形如下:

图2.4.17 积分电路输出波形图

(五)整体电路

由于仿真与实际电路有一点的误差,所以经过实践修改,实际的电路图如下:

图2.4.18 整体电路图

详细解析:

R4电阻起到调起振、调失真同时也可以小范围的调节幅值。

R15电阻起到调自激、调放大倍数的作用。

R10电阻起到调幅度、调失真的作用。

R11电阻起到调幅度的作用。

R5、R9电阻同时变化,可进行幅度微调。

C1、C4和C2、C5电容同时变化,可进行幅度粗调。

(六)扩展电路

1.射极跟随器(或者电压跟随器)

由于LM324输出电流有限,一般仅为几十毫安,在电流一定的情况下,为了提高电路的输出功率,一种有效的做法是减小电路的输出阻抗。要减小阻抗一种简单的办法是使用电压跟随器,因为电压跟随器得特点是输入阻抗高,输出阻抗小,可以起到阻抗变换及隔离作用。

图2.4.19 射极跟随器

2.单电源供电

LM324一般采用双电源供电,这时它的4脚接正电源,11脚接负电源。若要改用单电源,原则是:电路原来接正电源的地方仍旧接正电源,原来接地的地方改接1/2Vcc原来接负电源的地方改接地。电路如下:

图2.4.20 单电源供电电路图

3.扩大频率范围

主要就是通过文氏桥振荡电路的串并联谐振的电容、电阻,实现频率的调节。

电阻为微调、电容为粗调。频率的计算公式:,通过对电容电阻的选择,扩大频率范围。

4.显示电路

可利用数电实验的时间积分电路和计数器电路,实现频率的显示。五、心得体会

由于本次选择的模电实验与数电实验之间具有很强的连续性,所以做起来相对顺手。通过模电实验,着重复习、实践了运算放大器各部分电路的应用,对于幅值的计算、经典电路的搭建有了更深刻的认识。将文氏桥振荡电路、过零比较器、反相放大电路和积分电路得以实际应用。

在实验遇到困难的时候,要及时翻阅书籍,补充理论知识,万不可在不清楚原理的情况下就急于连板子。这样一旦遇到问题,由于对原理清晰,就能很快的发现问题所在,及时更正,不会出现摸不着头绪的状况。

完成模电实验后,老师进行验收。方波的上升时间大于2μs,而怎么调都不能减小上升时间,于是老师把LM324换成了LM347,方波的上升时间一下就满足了要求。老师解释说,这就是由于管子性能的原因了。所以在实验前要尽可能多的比较各种运放的性能指标,从中选取最合适的芯片进行实验。

由于本题目由电子设计竞赛改编而成,所以其重要性可想而知。因为课设题目对频率要求范围不高,仅到10KHz,所以容易实现。而电子竞赛中题目的要求是频率能扩展至0.0lHz一1MHz,难度就明显增加了。此时电路中就需要增加多级放大电路。因为LM324的增益带宽积(GBP)为1.3MHz,所以如果只放大1倍,那么增益为 1.3M÷1M=1.3,那么对于幅度的要求有达不到了。所以必须通过多级放大,既保证了频率满足要求,同时又保证了幅度满足要求。

六、附录

1、器件及仪器清单

LM324×1,74ls00×1,74ls04×1,74ls160×5,NE555×1,9013×1,1N4007×2,50K同轴电位器×1,10K电位器×3,20K电位器×2,50K电位器×2,电容若干,电阻若干

2、部分芯片管脚图

图2.6 LM324管脚图

3、参考资料

[1] 清华大学电子学教研组编,董诗白、华成英主编;《模拟电子技术基础》

(第四版),北京,高等教育出版社,2006年。

[2] 集成电路查询网——https://www.360docs.net/doc/c38654824.html,

模拟信号源实验报告

实验1 模拟信号源实验 一、实验目的 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.频率计1 台 3.20M 双踪示波器1 台 4.小电话单机1 部 三、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ 正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM、PCM、ADPCM、CVSD(Δ M)等实验的音频信号源。本模块位于底板的左边。 1.非同步函数信号 它由集成函数发生器XR2206 和一些外围电路组成,XR2206 芯片的技术资料可到网上搜索得到。函数信号类型由三档开关K01 选择,类型分别为三角波、正弦波、方波等;峰峰值幅度范围0~10V,可由W03调节;频率范围约500HZ~5KHZ,可由W02 调节;直流电平可由W01 调节(一般左旋到底)。非同步函数信号源结构示意图,见图2-1。 2.同步正弦波信号 它由2KHz 方波信号源、低通滤波器和输出放大电路三部分组成。2KHz 方波信号由“时钟与基带数据发生模块”分频产生。U03 及周边的阻容网络组成一个截止频率为2KHZ 的低通滤波器,用以滤除各次谐波,只输出一个2KHz 正弦波,在P04 可测试其波形。用其作为PAM、PCM、ADPCM、CVSD(Δ M)等模块的音频信号源,其编码数据可在普通模拟示波器上形成稳定的波形,便于实验者观测。W04 用来改变输出同步正弦波的幅度。同步信号源结构示意图,见图2-2。

CMOS二级运算放大器设计

CMOS二级运算放大器设计 (东南大学集成电路学院) 一.运算放大器概述 运算放大器是一个能将两个输入电压之差放大并输出的集成电路。运算放大器是模拟电子技术中最常见的电路,在某种程度上,可以把它看成一个类似于BJT 或FET 的电子器件。它是许多模拟系统和混合信号系统中的重要组成部分。 它的主要参数包括:开环增益、单位增益带宽、相位阈度、输入阻抗、输入偏流、失调电压、漂移、噪声、输入共模与差模范围、输出驱动能力、建立时间与压摆率、CMRR、PSRR以及功耗等。 二.设计目标 1.电路结构 最基本的COMS二级密勒补偿运算跨导放大器的结构如图所示。主要包括四部分:第一级输入级放大电路、第二级放大电路、偏置电路和相位补偿电路。 图两级运放电路图 2.电路描述 电路由两级放大器组成,M1~M4构成有源负载的差分放大器,M5提供该放大器的工作电流。M6、M7管构成共源放大电路,作为运放的输出级。M6 提供给M7 的工作电流。M8~M13组成的偏置电路,提供整个放大器的工作电流。相位补偿电路由M14和Cc构成。M14工作在线性区,可等效为一个电阻,与电容Cc一起跨接在第二级输入输出之间,构成RC密勒补偿。 3.设计指标 两级运放的相关设计指标如表1。

表1 两级运放设计指标 三.电路设计 第一级的电压增益: )||(422111o o m m r r g R G A == 第二级电压增益: )||(766222o o m m r r g R G A =-= 所以直流开环电压增益: )||)(||(76426221o o o o m m o r r r r g g A A A -== 单位增益带宽: c m O C g A GBW π2f 1 d == 偏置电流: 2 13 122121)/()/()/(2??? ? ??-=L W L W R L W KP I B n B 根据系统失调电压: 7 5 6463)/()/(21)/()/()/()/(L W L W L W L W L W L W == 转换速率: ? ?? ???-=L DS DS C DS C I I C I SR 575,min 相位补偿: 12.1)/()/()/()/(1 61311 146 6+== m m m C g g L W L W L W L W g R

4-20ma信号发生器电路

4-20ma信号发生器电路 制作要求:以精度0.5级为例,二线制4~20mA模拟恒环路信号发生器执行标准:GB/T13850-1998; (1)基准要稳,4mA是对应的输入零位基准,基准不稳,谈何精度线性度,冷开机3分锺内4mA的零位漂移变化不超过4.000mA0.5%以内;(即3.98-4.02mA),负载250Ω上的压降为0.995-1.005V,国外IC心片多用昂贵的能隙基准,温漂系数每度变化10ppm; (2)内电路总计消耗电流<4mA,加整定后等于4.000mA,而且有源整流滤波放大恒流电路不因原边输入变化而消耗电流也随之变化,国外IC心片采用恒流供电; (3)当工作电压24.000V时,满量程20.000mA时,满量程20.000mA的读数不会因负载0-700Ω变化而变化;变化不超过20.000mA0.5%以内; (4)当满量程20.000mA时,负载250Ω时,满量程20.000mA的读数不会因工作电压15.000V-30.000V变化而变化;变化不超过20.000mA0.5%以内;

(5)当原边过载时,输出电流不超过25.000mA+10%以内,否则PLC/DCS内供变送器用的24V工作电源和A/D输入箝位电路因功耗过大而损坏,另外变送器内的射随输出亦因功耗过大而损坏,无A/D输入箝位电路的更遭殃; (6)当工作电压24V接反时不得损坏变送器,必须有极性保护; (7)当两线之间因感应雷及感应浪涌电压超过24V时要箝位,不得损坏变送器;一般在两线之间并联1-2只TVS瞬态保护二极管 1.5KE可抑制每20秒间隔一次的20毫秒脉宽的正反脉冲的冲击,瞬态承受冲击功率1.5KW-3KW; (8)产品标示的线性度0.5%是绝对误差还是相对误差,可以按以下方法来辨别 方可一目了然:符合下述指标是真的线性度0.5%. 原边输入为零时输出4mA正负0.5%(3.98-4.02mA),负载250Ω上的压降为 0.995-1.005V 原边输入10%时输出5.6mA正负0.5%(5.572-5.628mA)负载250欧姆上的压降为1.393-1.407V 原边输入25%时输出8mA正负0.5%(7.96-8.04mA)负载250Ω上的压降为 1.990- 2.010V 原边输入50%时输出12mA正负0.5%(11.94-12.06mA)负载250Ω上的压降为2.985-3.015V 原边输入75%时输出16mA正负0.5%(15.92-16.08mA)负载250Ω上的压降为3.980-4.020V 原边输入100%时输出20mA正负0.5%(19.90-20.10mA)负载250Ω上的压降为4.975-5.025V (9)原边输入过载时必须限流:原边输入过载大于125%时输出过流限制25mA +10%(25.00-27.50mA)负载250Ω上的压降为6.250-6.875V; (10)感应浪涌电压超过24V时有无箝位的辨别:在两线输出端口并一个交流50V 指针式表头,用交流30-35V接两根线去瞬间碰一下两线输出端口,看有无箝位,箝位多少伏可一目了然啦; (11)有无极性保护的辨别:用指针式万用表Ω乘10K档正反测量两线输出端口,总有一次Ω阻值无限大,就有极性保护; (12)有无极输出电流长时间短路保护:原边输入100%时或过载大于125%-200%时,将负载250Ω短路,测量短路保护限制是否在25mA+10%; (13)工业级别和民用商用级别的辨别:工业级别工作温度范围是-25度到+70度,温漂系数是每度变化100ppm,即温度每度变化1度,精度变化为万分之一;

运放的应用实例和设计指南

1.1运放的典型设计和应用 1.1.1运放的典型应用 运放的基本分析方法:虚断,虚短。对于不熟悉的运放应用电路,就使用该基本分析方法。 运放是用途广泛的器件,接入适当的反馈网络,可用作精密的交流和直流放大器、有源滤波器、振荡器及电压比较器。 1) 运放在有源滤波中的应用 图有源滤波 上图是典型的有源滤波电路(赛伦-凯电路,是巴特沃兹电路的一种)。有源滤波的好处是可以让大于截止频率的信号更快速的衰减,而且滤波特性对电容、电阻的要求不高。 该电路的设计要点是:在满足合适的截止频率的条件下,尽可能将R233和R230的阻值选一致,C50和C201的容量大小选取一致(两级RC电路的电阻、电容值相等时,叫赛伦凯电路),这样就可以在满足滤波性能的情况下,将器件的种类归一化。 其中电阻R280是防止输入悬空,会导致运放输出异常。 滤波最常用的3种二阶有源低通滤波电路为 巴特沃兹,单调下降,曲线平坦最平滑; 切比雪夫,迅速衰减,但通带中有纹波; 贝塞尔(椭圆),相移与频率成正比,群延时基本是恒定。 二阶有源低通滤波 电路的画法和截止频率 2) 运放在电压比较器中的应用 图电压比较 上图是典型信号转换电路,将输入的交流信号,通过比较器LM393,将其转化为同频率的方波信号(存在反相,让软件处理一下就可以),该电路在交流信号测频中广泛使用。 该电路实际上是过零比较器和深度放大电路的结合。 将输出进行(1+R292/R273)倍的放大,放大倍数越高,方波的上升边缘越陡峭。 该电路中还有一个关键器件的阻值要注意,那就是R275,R275决定了方波的上升速度。 3) 恒流源电路的设计

如图所示,恒流原理分析过程如下: U5B (上图中下边的运放)为电压跟随器,故V4 V1=; 由运算放大器的虚短原理,对于运放U4A (上图中上边的运放)有: V5 V3=; 而 () 421 2020 V4-Vref V5V R R R ++? =; ()019 1819 0-V2 V3++?=R R R ; 有以上等式组合运算得:Vref V1 V2=- 当参考电压Vref 固定为时,电阻R30为Ωk ,电流恒定输出。 该恒流源电路可以设计出其他电流的恒流源,其基本思路就是:所有的电阻都需要采用高精度电阻,且阻值一致,用输入的参考电压(用专门的参考电压芯片)比上阻值,就是获得的输出电流。 但在实际使用中,为了保护恒流源电路,一般会在输出端串一只二极管和一只电阻,这样做的好处第一是防止外界的干扰会进入恒流源电路,导致恒流源电路的损坏,二是可以防止外界负载短路时,不至于对恒流源电路造成损坏。

信号源实验

实验一信号源实验 一、实验目的 1、掌握频率连续变化的各种波形的产生方法 2、掌握用FPGA产生伪随机码的方法 3、掌握码型可变NTZ码的产生方法 4、了解用FPGA进行电路设计的基本方法 5、了解帧同步信号与同步信号在整个通信系统中的作用 6、熟练掌握信号源模块的使用方法 二、实验内容 1、观察频率连续可变信号发生器输出的各种波形及7段数码管的显示 2、观察点频方波信号的输出 3、观察点频正弦波信号的输出 4、波动拨码开关,观察码型可变NRZ码的输出 5、观察位同步信号和帧同步信号输出 6、改变FPGA程序,扩展其他波形 三、实验器材 1、信号源模块 2、20M双踪示波器 3、频率计 4、PC机 5、连接线 四、实验原理 信号源模块可以大致分成模拟部分和数字部分,分别产生模拟信号和数字信号。 1、模拟信号源部分 模拟信号源部分可以输出频率和幅度可任意改变的正弦波(频率变化范围100Hz~10KHz)、三角波(频率变化范围100Hz~1KHz)、方波(频率变化范围

100Hz~10KHz)、锯齿波(频率变化范围100Hz~1KHz)以及32KHz、64KHz、1MHz、的点频正弦波(幅度可以调节)。 我们已经将各种波形在不同频段的数据写入了数据存储器U005(2864)并存放在固定的地址中。 2、数字信号源部分 数字信号源部分可以产生多种频率的点频方波、NRZ码以及位同步信号和帧同步信号。 晶振出来的方波信号经3分频后分别送入分频器和另外一个可预知分频器分频,前一频器分频后可得到1MHz、256KHz、64KHz、8KHz的方波以及8KHz 的窄脉冲信号。可预置分频的分频比可通过拨码开关SW101、SW102来改变,分频比范围是1~9999。分频后的新号即为整个系统的位同步信号(从信号输出点“BS”输出)。数字信号源部分还包括一个NRZ码产生电路,通过该电路可产生24位为一帧的周期性NRZ码序列,该序列的码型可通过拨码开关SW103、SW104、SW105来改变。 五、实验步骤 1、插上电源线,打开交流开关,再按下开关POWER1、POWER2,按一下复位键, 信号源模块开始工作。 2、模拟信号源部分 a、观察“32K正弦波”、“64K正弦波”、“1M正弦波”可并分别改变各正弦波的 幅度。 b、按下“复位”波形指示灯“三角波”亮,数码管M001~M004显示“2000”。 c、按一下“波形选择”,“三角波”亮,输出波形为是三角波。逐次按下“波形 选择”轮流输出正弦波、三角波、锯齿波和方波。 d、波形选择为正弦波,改变输出信号的频率,观察“模拟输出”点的波形,计 算其频率是否与数码管显示的一致。转动“幅度调节1”改变幅度 e、分别选择为三角波,锯齿波,方波重复上述实验 f、模拟信号放大通道:链接“模拟输出”点与“IN”点,观察“OUT”点波形, 转动“幅度调节2”改变输出信号的幅度 3.数字信号源部分

CMOS 两级运放设计

CMOS 两级运放的设计 1设计指标 在电源电压0-5V,采用0.5um上华CMOS工艺。完成以下指标: 2电路分析 2.1 电路图 2.2电路原理分析

两级运算放大器的电路结构如图1.1所示,偏置电路由理想电流源和M8组成。M8将电流源提供的电流转换为电压,M8和M5组成电流镜,M5将电压信号转换为电流信号。输入级放大电路由 M1~M5 组成。M1 和M2 组成PMOS 差分输入对,差分输入与单端输入相比可以有效抑制共模信号干扰;M3、M4 电流镜为有源负载,将差模电流恢复为差模电压。;M5 为第一级提供恒定偏置电流,流过M1,2的电流与流过M3,4的电流 1,23,45/2 d d d I I I ==。输出级放大电路 由M6、M7 组成。M6 将差分电压信号转换为电流,而M7 再将此电流信号转换为电压输出。M6 为共源放大器,M7 为其提供恒定偏置电流同时作为第二级输出负载。相位补偿电路由Cc 构成,构成密勒补偿。 3 性能指标分析 3.1 直流分析 由于第一级差分输入对管M1和M2相同,有 第一级差分放大器的电压增益为: 1 124m v ds ds g A g g -= + 第二极共源放大器的电压增益为 6 267 m v ds ds g A g g -= + 所以二级放大器的总的电压增益为 1626 1224675246672()()m m m m v v v ds ds ds ds g g g g A A A g g g g I I λλλλ=== ++++ 3.2频率特性分析 设1C 为第一级输出节点到地的总电容,有 1 2 2446GD DB GD DB GS C C C C C C =++++ 设2C 表示第二级输出节点与地之间的总电容,有 2 6 77DB DB GD L C C C C C =+++

信号发生器电路的焊接与调试-电路图

一、信号发生器电路安装与调试考核评分表 准考证号姓名规定时间分钟 开始时间结束时间实用时间得分 考核内容及要求配分评分标准扣分 1 元器件清点检查:在10分钟内对所有元 器件进行检测,并将不合格元器件筛选出来进 行更换,缺少的要求补发。 10 超时更换或要求补发按损坏 元件扣分,扣3分/个。 2 安装电路:按装配图进行装接,要求不装 错,不损坏元器件,无虚焊,漏焊和搭锡,元 器件排列整齐并符合工艺要求。 30 漏装,错装或虚焊、漏焊、 搭锡,扣2分/个,安装不整 齐和不符合工艺要求的扣1 分/处,损坏元件扣3分/个。 3 电源电路:接通交流电源,测量交流电压 和各直流电压+12V、-12V、V CC 、-5V。 信号发生器电路:接通+12V、-12V、V CC 、 -5V电源。测量函数信号波形:方波、正弦波、 三角波形。 20 电压测试方法不正确扣10 分,测量值有误差扣5分。 4 选择C=10uf,调节RW13、RW14、RW15, 记录方波的占空比: 1、 2、 3、 10 不会用示波观察输出信号波 形扣10分, 调节不正确扣5分, 波形记录不正确扣5分。 5 改变电容:100nf——100uf,并调节RW11, 记录正弦波输出频率f: 1、 2、 3、 10 最大不失真电压测试方法不 正确扣5分,测量值不准确 扣5分,不会计算最大不失 真功率扣5分。 6 调节RW21、RW22, 记录正弦波输出Vpp: 1、 2、 3、 10 不会测试功放电路的灵敏度 扣5分,不会计算电压放大 倍数扣5分。 7 调节电位器RW16、RW17, 记录正弦波形的失真: 1、 2、 3、 10 测量方法不正确扣5分, 测量数据每处2分,不会绘 制频响曲线扣5分 开始时间:结束时间:实用时间:

实验一信号源实验共7页

通信原理实验报告(一) 颜平 222011315220096 实验一信号源实验 一.实验目的 1.了解频率连续变化的各种波形的产生方法。 2.理解帧同步信号与位同步信号在整个通信系统中的作用。 3.熟练掌握信号源模块的使用方法。 二.实验内容 1.观察频率连续可变信号发生器输出的各种波形及7段数码管的显示。2.观察点频方波信号的输出。 3.观察点频正弦波信号的输出。 4.拨动拨码开关,观察码型可变NRZ码的输出 5.观察位同步信号和帧同步信号的输出 三.实验器材 1.信号源模块 2.20M双踪示波器 一台3.频率计(可选) 一台 4.PC机(可选) 一台

5.连接线若干 四.实验原理 信号源模块可以大致分为模拟部分和数字部分,分别产生模拟信号和数字信号。 1.模拟信号源部分 图1-1 模拟信号源部分原理框图 如上原理框图部分, 模拟信号源部分可以输出频率和幅度可任意改变的正弦波(频率变化范围100Hz~10KHz)、三角波(频率变化范围100Hz~1KHz)、方波(频率变化范围100Hz~10KHz)、锯齿波(频率变化范围100Hz~1KHz)以及32KHz、64KHz、1MHz的点频正弦波(幅度可以调节) 2.数字信号源部分 可以产生多种频率的点频方波、NRZ码(可通过拨码开关SW103、SW104、SW105改变码型)以及位同步信号和帧同步信号。绝大部分电路功能由U004(EPM7128)来完成,通过拨码开关SW101、SW102可改变整个数字信号源位同步信号和帧同步信号的速率,该部分电路原理框图如图1-2所示。 图1-2 数字信号源部分原理框图

五、操作方法与实验步骤: 1、将信号源模块小心固定在主机箱中,确保电源接触良好。 2、插上电源线,打开主机箱右侧的交流开关,再按下开关POWER1、POWER2,发光二极管LED001、LED002发光,按一下复位键,信号源模块开始工作。 3、模拟信号源部分 ①观察“32K正弦波”、“64K正弦波”、“1M正弦波”各点输出的正弦波波形,对应的电位器“32K幅度调节”、“64K幅度调节”、“1M幅度调节”可分别改变各正弦波的幅度。 ②按下“复位”键使U006复位,波形指示灯“正弦波”亮,波形指示灯“三角波”、“锯齿波”、“方波”以及发光二极管LED007灭,数码管 M001~M004显示“2000”。 ③按一下“波形选择”按键,波形指示灯“三角波”亮(其他仍熄灭),此时信号输出点“模拟输出”的输出波形为三角波。逐次按下“波形选择”按键,四个波形报指示灯轮流发亮,此时“模拟输出”点轮流输出正弦波、三角波、锯齿波、和方波。 ④将波形选择为正弦波,转动旋转编码器K001,改变输出信号的频率,观察“模拟输出”点的波形,并注意计算其频率是否与数码管显示的一致。转动电位器“幅度调节1”可改变输出信号的幅度,幅度最大可达3V以上。 ⑤将波形分别选择为三角波、锯齿波、方波、重复上述实验。 4.数字信号源部分 ①拨码开关SW101、SW102的作用是改变分频器的分频比,得到不同频

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

实验1 DDS信号源实验

班级通信1403学号201409732姓名裴振启指导教师邵军花日期 实验1 DDS信号源实验 一、实验目的 1.了解DDS信号源的组成及工作原理; 2.掌握DDS信号源使用方法; 3.掌握DDS信号源各种输出信号的测试。 二、实验仪器 1.DDS信号源(位于大底板左侧,实物图片如下) 2.频率计1台 3. 20M双踪示波器1台 4.低频信号发生器 1台 三、实验原理 直接数字频率合成(DDS—Digital Direct Frequency Synthesis),是一种全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM 的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。 DDS信号源模块硬件上由cortex-m3内核的ARM芯片(STM32)和外围电路构成。在 该模块中,我们用到STM32芯片的一路AD采集(对应插孔调制输入)和两路DAC输出(分别对应插孔P03、P04)。PWM信号由STM32时钟配置PWM模式输出,调幅、调频信号通过向STM32 写入相应的采样点数组,由时钟触发两路DAC同步循环分别输出其已 调信号与载波信号。对于外加信号的AM调制,由STM32的AD对外加音频信号进行采样,在时钟触发下当前采样值与载波信号数组的相应值进行相应算法处理,并将该值保存输出到DAC,然后循环进行这个过程,就实现了对外部音频信号的AM调制。 RZ8681 D实验箱的DDS信号源能够输出脉宽调制波(PWM)、正弦波、三角波、方波、扫频信号、调幅波(AM)、双边带(DSB)、调频波(FM)及对外部输入信号进行 AM调制输出。 四、各测量点的作用 调制输入:外部调制信号输入铆孔(注意铆孔下面标注的箭头方向。若箭头背离铆孔, 说明此铆孔点为信号输出孔;若箭头指向铆孔,说明此铆孔点为信号输入孔)。 P03:DDS各种信号输出铆孔。 P04:20KHZ载波输出铆孔。 P09:抽样脉冲输出铆孔。 SS01:复合式按键旋纽,按键用来选择输出信号状态;旋纽用来改变信号频率。 LCD:显示输出信号的频率。

运放的应用实例和设计指南

1.1运放的典型设计和应用 1.1.1 运放的典型应用 运放的基本分析方法:虚断,虚短。对于不熟悉的运放应用电路,就使用该基本分析方法。 运放是用途广泛的器件,接入适当的反馈网络,可用作精密的交流和直流放大器、 有源滤波器、 振荡器及电压比较器。 1)运放在有源滤波中的应用 图5.2有源滤波 上图是典型的有源滤波电路(赛伦 -凯 电路,是巴特沃兹电路的一种) 让大于截止频率的信号更快速的衰减,而且滤波特性对电容、电阻的要求不高。 该电路的设计要点是:在满足合适的截止频率的条件下,尽可能将 R233和R230的阻值选一 致,C50和C201的容量大小选取一致(两级 RC 电路的电阻、电容值相等时,叫赛伦凯电路) ,这 样就可以在满足滤波性能的情况下,将器件的种类归一化。 其中电阻 R280是防止输入悬空,会导致运放输出异常。 滤波最常用的3种二阶有源低通滤波电路为 巴特沃兹,单调下降,曲线平坦最平滑; 切比雪夫,迅速衰减,但通带中有纹波; 贝塞尔(椭圆),相移与频率成正比,群延时基本是恒定。 2)运放在电压比较器中的应用 。有源滤波的好处是可以 二阶有源低通滤波 电路的画法和截止频率 +5VA +3.3V

图5.3电压比较 上图是典型信号转换电路,将输入的交流信号,通过比较器 LM393,将其转化为同频率的方波 信号(存在反相,让软件处理一下就可以) ,该电路在交流信号测频中广泛使用。 该电路实际上是过零比较器和深度放大电路的结合。 将输出进行(1+R292/R273 )倍的放大,放大倍数越高,方波的上升边缘越陡峭。 该电路中还有一个关键器件的阻值要注意,那就是 R275,R275决定了方波的上升速度。 3)恒流源电路的设计 如图所示,恒流原理分析过程如下: U5B (上图中下边的运放)为电压跟随器,故 V1 V4; 由运算放大器的虚短原理,对于运放 U4A (上图中上边的运放)有: V3 V5; 而 V5 Vref-V4?R20R 20 R 21 V 4 ; 有以上等式组合运算得: V2 V1 Vref 当参考电压 Vref 固定为1.8V 时,电阻R30为3.6 k ,电流恒定输出0.5mA 。 该恒流源电路可以设计出其他电流的恒流源,其基本思路就是: 所有的电阻都需要采用高精度 电阻,且阻值一致,用输入的参考电压(用专门的参考电压芯片)比上阻值,就是获得的输出电流 但在实际使用中,为了保护恒流源电路,一般会在输出端串一只二极管和一只电阻,这样做的 好处第一是防止外界的干扰会进入恒流源电路,导致恒流源电路的损坏,二是可以防止外界负载短 路时,不至于对恒流源电路造成损坏。 V3 V2 - 0 ? R 19 /R18 R 19 0; use TLC2272AIO

通信技术与系统实验

2014-2015学年第二学期《通信技术与系统》课程实验报告 所在学院:电子工程学院 学生姓名: 学生学号: 任课老师: 2015年6月 18日

实验1 模拟信号源实验 一、实验目的 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.频率计1台 3.20M 双踪示波器1台 4.小电话单机1部 三、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ 正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM 、PCM 、ADPCM 、CVSD (?M )等实验的音频信号源。本模块位于底板的左边。 1.非同步函数信号 它由集成函数发生器XR2206和一些外围电路组成,XR2206芯片的技术资料可到网上搜索得到。函数信号类型由三档开关K01选择,类型分别为三角波、正弦波、方波等;峰峰值幅度范围0~10V ,可由W03调节;频率范围约500HZ ~5KHZ ,可由W02调节;直流电平可由W01调节(一般左旋到底)。非同步函数信号源结构示意图,见图2-1。 图2-1 非同步函数信号源结构示意图 2.同步正弦波信号 它由2KHz 方波信号源、低通滤波器和输出放大电路三部分组成。 2KHz 方波信号由“时钟与基带数据发生模块”分频产生。U03及周边的阻容网络组成一个截止频率为2KHZ 的低通滤波器,用以滤除各次谐波,只输出一个2KHz 正弦波,在P04可测试其波形。用其作为PAM 、PCM 、ADPCM 、CVSD (?M )等模块的音频信号源,其编码数据可在普通模拟示波器上形成稳定的波形,便于实验者观测。 W04用来改变输出同步正弦波的幅度。同步信号源结构示意图,见图2-2。 K01 U01 跟随放大器 XR2206 电 路 三角波 正弦波 方波 P03

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

两级CMOS运算放大器的设计与spectrum仿真

LAB2 两级CMOS 运算放大器的设计 V SS vout iref 图 1两级CMOS 运算放大器 一:基本目标: 参照《CMOS 模拟集成电路设计第二版》p223.例设计一个CMOS 两级放大器,满足以下指标: 5000/(74)v A V V db = 2.5DD V V = 2.5SS V V =- 5GB MHz = 10L C pF = 10/SR V s μ> out V V ±范围=2 1~2ICMR V =- 2diss P mW ≤ 相位裕度:60o 为什么要使用两级放大器,两级放大器的优点: 单级放大器输出对管产生的小信号电流直接流过输出阻抗,因此单级电路增益被抑制在输出对管的跨导与输出阻抗的乘积。在单级放大器中,增益是与输出摆幅是相矛盾的。要想得到大的增益我们可以采用共源共栅结构来极大地提高输出阻抗的值,但是共源共栅结构中堆叠的MOS 管不可避免地减少了输出电压的范围。因为多一层管子就要至少多增加一个管子的过驱动电压。这样在共源共栅结构的增益与输出电压范围相矛盾。为了缓解这种矛盾引进了两级运放,在两极运放中将这两点各在不同级实现。如本文讨论的两级运放,大的增益靠第一级与第二级相级联而组成,而大的输出电压范围靠第二级这个共源放大器来获得。

表1 典型的无缓冲CMOS 运算放大器特性 二:两级放大电路的电路分析: 图1中有多个电流镜结构,M5,M8组成电流镜,流过M1的电流与流过M2电流 1,23,45/2d d d I I I ==,同时M3,M4组成电流镜结构,如果M3和M4管对称,那么相同的结 构使得在x ,y 两点的电压在Vin 的共模输入范围内不随着Vin 的变化而变化,为第二极放大器提供了恒定的电压和电流。图1所示,Cc 为引入的米勒补偿电容。 表2 m μ工艺库提供的模型参数 表3 一些常用的物理常数

信号发生器的原理及应用

实验一信号发生器的原理及应用 一、实验目的 (1)熟悉直接数字合成双路函数信号发生器的工作原理以及面板装置及功能; (2)会运用UTG2025A型数字信号合成信号发生器产生标准信号和调制信号。 二、实验设备 (1)UTG2025A型函数/任意波形信号发生器1台; (2)UTD2102C数字存储示波器各1台。 三、实验原理 函数信号发生器是能产生多种特定时间函数波形(如正弦波、方波、三角波 等)供测试用的信号发生器。典型函数信号发生器由输入单元、内/外转换电路、 波形产生电路、频段转换器、扫频电路、占空比和频率调节电路、微处理器、A/D 转换器、直流功率放大器和计数显示器等组成,其电路原理方框图如下所示: 图1典型函数信号发生器电路原理框图 其中波形产生电路、频率调整电路、占空比调整电路、内外扫频控制电路、测频 单元电路等具体电路原理与分析见教材《电子测量技术》P67-P71页内容。 四、实验内容及步骤 4.1 产生标准信号 4.1.1 产生正弦波信号

实验内容:产生一个20MHz、峰峰值100mV、直流偏置-150mV的正弦波信号。 1 实验步骤: (1)确保仪器正确连接后,打开开关,等仪器自检回到主菜 单;(2)按【menu】→【波形】→【正弦波】,如下图所示: (3)按【menu】→【波形】→【参数】 选择【频率】、【幅度】、【直流偏移】、【相位】不同功能按钮进行设 置:可以用三种方法来输入频率值:(其他数字量输入类似) ①通过按方向键来移动选择光标,再通过多功能按钮来增加、减少频率值; ②通过多功能按钮选中再逆时针、顺时针旋转来增加、减少频率值; ③通过数字键盘输入:进入频率设置状态后,当您按下数字键盘任意一个按键后,屏幕弹出输入窗口,如下图所示: 键入数字后再分别选择不同单位。

100db二级运放的设计

高增益二级运放的设计 重庆邮电大学重庆国际半导体学院年级:2011级 班级:1611101 姓名:王强

引言 相对与数字集成电路的规律性和离散性,计算机辅助设计方法学在给定所需功能行为描述的数字系统设计自动化方面已经非常成功。但这并不适用于模拟电路设计。一般来说,模拟电路设计仍然需要手工进行。因此,仔细研究模拟电路的设计过程,熟悉那些提高设计效率、增加设计成功机会的原则是非常必要的。 运算放大器(简称运放)是许多模拟系统和混合信号系统中的一个完整部分。各种不同复杂程度的运放被用来实现各种功能:从直流偏置的产生到高速放大或滤波。伴随者每一代CMOS 工艺,由于电源电压和晶体管沟道长度的减小,为运放的设计不断提出复杂的课题。 运算放大器的设计可以分为两个较为独立的两个步骤。第一步是选择或搭建运放的基本结构,绘出电路结构草图。一般来说,决定好了电路结构以后,便不会更改了,除非有些性能要求必须通过改变电路结构来实现。 一旦结构确定,接着就要选择直流电流,手工设计管子尺寸,以及设计补偿电路等等,这个步骤包含了电路设计的绝大部分工作。为了满足运放的交流和直流要求,所有管子都应被设计出合适的尺寸。然后在手工计算的基础上,运用计算机模拟电路可以极大的方便对电路进行调试和修改。但要记住,手算是绝对必需的!通过手算,可以深入的理解电路,对于设计多边形法则也可以更好进行权衡和把握。 电路分析 图1.1 M1 M2 M3 M4 M5 M6 M7M8M9 M10 M11M12Vin+ Vin-Vout Vin1Iss GND VDD

电路结构 最基本的CMOS 二级米勒补偿运算放大器的结构如图1.1所示。主要包括四部分:第一级输入级放大电路、第二级放大电路、偏置电路和相位补偿电路。 电路描述 输入级放大电路由M 1~M 8组成。M 1和M 2组成NMOS 差分输入对, 差分输入与单端输入相比可以有效抑制共模信号干扰。 输出级放大电路由M 9、M 10组成。M 9为共源放大器,M 10为其提供恒定偏置电流同时作为第二级输出负载。相位补偿电路由R 和C C 构成,与电容C C 一起跨接在第二级输入与第一级输出之间,构成RC 密勒补偿。 静态特性 暂时不考虑电阻R ,绘出电路的等效模型,如图1.2所示。 图1.2 由于第一级差分输入对管M 1 、M 2 相同,可以得到: 112m G gm gm == (1) R 1表示第一级输出电阻,其值可以表示为: 1113557||m o o m o o R g r r g r r = (2) 则第一级的电压增益为: ()1111113557||V m m m o o m o o A G R g g r r g r r == (3) 对第二级有: 29m G gm = (4) 2910||o o R r r = (5) ()2229910||V m m o o A G R g r r == (6) ()()12112211135579910||||V V V m m m m o o m o o m o o A A A G R G R g g r r g r r g r r === (7) ` `` +-V in1 +-V in2+- V out G m1V in1 G m2V in2 R 1 R 2 C 1 C 2 C C

低频信号发生器电路图制作以及调试

低频信号发生器电路图制作以及调试 1 画原理图 本设计中要求用Protel软件完成原理图以及PCB板。我用的是Protel2004 版本。电路原理图的设计是印制电路板设计中的第一步,也是非常重要的一步。电路原理图设计得好坏将直接影响到后面的工作。首先,原理图的正确性是最基本的要求,因为在一个错误的基础上所进行的工作是没有意义的;其次,原理图应该布局合理,这样不仅可以尽量避免出错,也便于读图、便于查找和纠正错误;最后,在满足正确性和布局合理的前提下应力求原理图的美观。 电路原理图的设计过程可分为以下几个步骤: 1、设置电路图纸参数及相关信息根据电路图的复杂程度设置图纸的格式、尺寸、方向等参数以及与设计有关的信息,为以后的设计工作建立一个合适的工作平面。 2、装入所需要的元件库将所需的元件库装入设计系统中,以便从中查找和选定所需的元器件。 3、设置元件将选定的元件放置到已建立好的工作平面上,并对元件在工作平面上的位置进行调整,对元件的序号、封装形式、显示状态等进行定义和设置,以便为下一步的布线工作打好基础。 4、电路图布线利用Protel 2004所提供的各种工具、命令进行画图工作,将事先放置好的元器件用具有电气意义的导线、网络标号等连接起来,布线结束后,一张完整的电路原理图基本完成。 5、调整、检查和修改利用Prote2004所提供的各种工具对前面所绘制的原理图做进一步的调整和修改。 6、补充完善对原理图做一些相应的说明、标注和修饰,增加可读性和可观性。 2 硬件单元电路调试 对于本波形法发生器,其硬件电路的调试最重要的地方在于板子制作的前期一

定要保证其质量,尽量减少因虚焊等因不细心造成的故障。将元件焊接完毕后,为了方便调试,采用分块调试的方法。电路由多个模块组成,D/A 转换 电路、显示电路、电源电路、按键电路、复位电路。因为这次在焊点的时候比较细心,所以焊得很结实,检验的时候,未发现有虚焊的问题。 5.2.1 焊电路 设计好电路图,开始焊电路板,刚开始觉得线路很简单,所以电路排版没花心思,真正开始焊的时候才发现相当麻烦,导线用去很多,看起来有点乱。由于元气件的管脚图并不跟原理图中一样,所以必须先查阅资料弄明白各个器件的封装,像LED先用万用表检测是共阴还是共阳,每个管脚对应哪一段也可以检测。还有四脚的按键也要测出哪两脚是相通的等等。 5.2.2 硬件电路的总体检查 电路板焊完之后,应该首先认真细致地检查一遍,确认无误后方能通电。通电前检查,主要检查以下内容: 第一,根据硬件电气原理图和装配图仔细检查线路的正确性,并检查元器件安装是否正确。尤其注意的是芯片、二极管和开关管的极性、电容器的耐压和极性、电阻的阻值和功率是否与设计图纸相符,重点检查系统总线间或总线与其它信号线间是否存在短路;第二,检查焊接点是否牢固,特别要仔细检查有无漏焊和错焊;对于靠得很近的相邻焊点,要注意检查金属毛刺和是否短路,必要时可用欧姆表进行测量;第三,在不加电的情况下,插上所有元器件,为联机调试作准备。确保电源和地无故障之后,再通电,然后检查各电源+5V、+12V 和-12V电压数值的正确性。排除可能出现的故障后,再进行各单元电路调试。 5.2.3 单元电路调试 1 、单片机最小系统调试 按照前面设计的单片机最小系统和电源,焊接并插上相应的元器件,连好线,检查正确无误后,接上电源,用示波器测试单片机的时钟波形。时钟波形和频率正确,进行下一步检查。 切断电源,空出单片机AT89S51的位置,并在此位置上插入仿真器的40芯

相关文档
最新文档