静态随机存储器实验

静态随机存储器实验
静态随机存储器实验

静态随机存储器实验实验时间2015-11-14

掌握静态随机存储器RAM 工作特性及数据的读写方法。

PC机一台,TD-CMA实验系统一套

实验原理

实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图2-1-1 SRAM 6116引脚图所示。6116 有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1-1 所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。

图2-1-1 SRAM 6116引脚图

由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM的读写,实验中的读写控制逻辑如图2-1-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出(时序单元的介绍见附录2)。IOM用来选择是对I/O 还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

图2-1-2 读写控制逻辑

实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0的容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

图2-1-3 存储器实验原理图

实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR按钮。

实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。

实验步骤(1)关闭实验系统电源,按图2-1-4连接实验电路,并检查无误,图中将用户需要连接的信号用圆圈标明。

(2)将时序与操作台单元的开关KK1、KK3置为运行档、开关KK2置为‘单步’档。

(3)将CON单元的IOR开关置为1(使IN单元无输出),打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。

图2-1-4 实验接线图

(4) 给存储器的00H、01H、02H、03H、04H地址单元中分别写入数据11H、12H、13H、14H、15H。由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST产生T3脉冲,即将地址打入到AR中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1,RD=0,IOM=0),按动ST产生T3脉冲,即将数据打入到存储器中。写存储器的流程如图2-1-5所示(以向00地址单元写入11H为例):

图2-1-5 写存储器流程图

(5) 依次读出第00、01、02、03、04号单元中的容,观察上述各单元中的容是否与前面写入的一致。同写操作类似,也要先给出地址,然后进行读,地址的给出和前面一样,而在进行读操作时,应先关闭IN单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1,IOM=0),此时数据总线上的数即为从存储器当前地址中读出的数据容。读存储器的流程如图2-1-6所示(以从00地址单元读出11H为例):

图2-1-6 读存储器流程图

如果实验箱和PC联机操作,则可通过软件中的数据通路图来观测实验结果,方法是:打开软件,选择联机软件的“【实验】—【存储器实验】”,打开存储器实验的数据通路图,如图2-1-7所示。

进行上面的手动操作,每按动一次ST按钮,数据通路图会有数据的流动,反映当前存储器所做的操作(即使是对存储器进行读,也应按动一次ST按钮,数据通路图才会有数据流动),或在软件中选择“【调试】—【单周期】”,其作用相当于将时序单元的状态开关置为‘单步’档后按动了一次ST按钮,数据通路图也会反映当前存储器所做的操作,借助于数据通路图,仔细分析SRAM的读写过程。

图2-1-7 数据通路图

以从00地址单元读出11H为例:

实验结果分析(1)写入存储器:通过WR、RD、IOM控制存储器的读写,IOR控制数据地址的输出, LDAR 控制地址寄存器门控信号。给存储器的00H、01H、02H、03H、04H地址单元中分别写入数据11H、12H、13H、14H、15H。先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1,RD=0,IOM=0),按动ST产生T3脉冲,即将数据打入到存储器中。

(2)读存储器:通过地址的输入,读出相应地址的数据。在进行读操作时,应先关闭IN单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1,IOM=0),此时数据总线上的数

静态存储器扩展实验报告

静态存储器扩展实验报告告圳大学实验报深

微机原理与接口技术 课程名称: 静态存储器扩展实验实验项目名称: 信息工程学院学院: 专业:电子信息工程

指导教师:周建华 32012130334 学号:班级:电子洪燕报告人:班 2014/5/21 实验时间: 实验报告提交时间:2014/5/26 教务部制. 一.实验目的与要求: 1. 了解存储器扩展的方法和存储器的读/写。 2. 掌握CPU对16位存储器的访问方法。

二.实验设备 PC机一台,TD-PITE实验装置或TD-PITC实验装置一套,示波器一台。 三.实验原理VCC28A141WE27A122A1326A73A8254A6存储器是用来存储信息的A924A55A1123A46OE22A3762256A10218A2CS209A1部件,是计算机的重要组成部D719A010D618D011D517D112D416D213D315GND14管组成的是由MOS分,静态RAM触发器电路,每个触发器可以存放1位

信息。只要不掉电,所储存的信息就不会丢失。因此,静态RAM工作稳定,不要外加刷新电路,使用方便。 但一般SRAM 的每一个触发器是由6个晶体管组成,SRAM 芯片的集成度不会太高,目前较常用的有6116(2K×8位),图4.1 62256引脚图6268位)622532位。本验平台上选. 用的是62256,两片组成32K×16位的形式,共64K字节。 62256的外部引脚图如图4.1所示。 本系统采用准32位CPU,具有16位外部

数据总线,即D0、D1、…、D15,地址总线为BHE#(#表示该信号低电平有效)、BLE #、A1、A2、…、A20。存储器分为奇体和偶体,分别由字节允许线BHE#和BLE#选通。 存储器中,从偶地址开始存放的字称为规则字,从奇地址开始存放的字称为非规则字。处理器访问规则字只需要一个时钟周期,BHE#和BLE#同时有效,从而同时选通存储器奇体和偶体。处理器访问非规则字却需要

实验四 静态随机存储器实验

实验四静态随机存储器实验 一.实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二.实验设备 TDN—CM++计算机组成原理教学实验系统一台,排线若干。 三.实验内容 1.实验原理 实验所用的半导体静态存储器电路原理如图所示,实验中的静态存储器一片6116 (2K﹡8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD0—AD7与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7—A0,而高三位A8—A10接地,所以 其实际容量为256字节。6116有三个控制线:CE(片选线)OE(读线)WE(写 线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作。本实 验中将OE常接地,在此情况下,当CE=0 WE=0时进行读操作,其写时间与T3 脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW—B为 低电平有效,LDAR为高电平有效。 2.实验步骤 (1)在时序电路模块中有两个二进制开关“STOP”和“STEP”,将“STOP” 开关置为“RUN”状态,将“STEP”开关置为“STEP”状态。 (2)按“图4 存储器实验连线图”连接实验线路,仔细查向无误后接通电源。 由于存储器模块内部的连线已经接好,因此只需完成电路的形成、控制信 号模拟开关、时钟脉冲信号T3与存储模块的外部连接。 (3)给存储器的00 01 02 03 04地址单元中分别写入数据11 12 13 14 15,具体操作步骤如下:(以向00号单元写入11为例)

半导体存储器原理实验报告

_管理_学院__信息管理与信息系统_专业_2_班______组、学号3109005713___姓名_吴兴平_ ___协作者_林敬然__________ 教师评定_____________ 半导体存储器原理实验 1.实验目的与要求: 实验目的:(1)掌握静态存储器的工作特性及使用方法。(2)掌握半导体随机存储器如何存储和读取数据。 实验要求:按练习一和练习二的要求完成相应的操作,并填写表2.1各控制端的状态及记录表2.2的写入和读出操作过程。 2. 实验方案: (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。 (2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。 (3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS245与数据总线相连,分别给出地址和数据。 (4)地址显示灯A D7-AD0与6116地址线相连,用来显示存储单元的地址,数据总线上的显示灯B7-B0用来显示写入存储单元的数据或从存储单元读出的数据。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。

(6)LDAR是地址存储器AR存数控制信号。 (7)按图连接好实验电路,检查无误后通电。 (8)将表2.2的地址和内容转化为二进制。 (9)参考以上操作,向存储器单元里先写第一个单元的地址、然后向第一个地址,再写第二个地址,然后向第二个地址单元写内容,就这样不断循环操作,直到做完。 3. 实验结果和数据处理: (1)填写表2.1各控制端的状态。如下图所示: 表2.1 (2)记录表2.2的写入和读出操作过程。 向存储器的00H,01H,02H,03H,04H,05H,06H地址单元分别写入数据AAH,55H,33H,44H,66H,08H,F0H(十六进制),如表所示:

微控制器实验报告

微控制器技术实验报告 班级: 姓名: 学号:

微控制器技术实验说明 一、实验目的及要求: 1、学习Keil C51集成开发工具的操作及调试程序的方法,包括:仿真调试 与脱机运行间的切换方法; 2、熟悉TD-51单片机系统板及实验系统的结构及使用; 3、进行MCS51单片机指令系统软件编程设计与硬件接口功能设计; 4、学习并掌握Keil C51与Proteus仿真软件联机进行单片机接口电路的设 计与编程调试; 5、完成指定MCS51单片机综合设计题 二、实验基本内容(TD-51单片机实验系统实现) 实验一清零程序与拆字程序设计 根据实验指导书之“第二章单片机原理实验”(P17~P23页)内容,熟悉实验环境及方法,完成思考题1、2(P23)基础实验项目。 实验二拼字程序与数据传送程序设计 汇编语言完成实验指导书P24思考题3、4题的基础实验项目。 实验三排序程序与散转程序设计 汇编语言完成实验指导书P24思考题5、6题的基础实验项目。 实验四静态存储器扩展实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.1 静态存储器扩展实验”基本实验项目(P57)。 提高部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.2 FLASH存储器扩展实验”实验项目(P60)。 实验五数字量输入输出实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.1 数字量输入输出实验”基本实验项目(P36),。 提高部分:(任选一题) 题目一:LED交通灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过开关实现LED灯工作方式即时控 制,完成LED交通灯的开关控制显示功能和LED交通灯自动循环显示功能。 题目二:LED灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过KK1实现LED灯工作方式即时控 制,完成LED开关控制显示和LED灯左循环、右循环、间隔闪烁功能。 题目三:键盘扫描与数码管显示设计( 要求:阅读、验证P69上的C 语言参考程序功能。使用汇编语言完成编程与功能调试。

静态存储器-实验报告

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成与结构 项目名称静态随机存储器实验 班级 学号 姓名 同组人员无 实验日期 2015-10-24

一、实验目的与要求 掌握静态随机存储器RAM 工作特性及数据的读写方法 二、实验逻辑原理图与分析 2.1 实验逻辑原理图及分析 实验所用的静态存储器由一片6116(2K ×8bit)构成(位于MEM 单元),如下 图所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS 常接地线。 由于存储器(MEM)最终是要挂接到CPU 上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM 的读写,实验中的读写控制逻辑如下图所示,由于T3的参与,可以保证MEM 的写脉宽与T3一致,T3由时序单元的TS3给出。IOM 用来选择是对I/O 还是对MEM 进行读写操作,RD=1时为读,WR=1时为写。 XMRD XIOR XIOW XMWR RD IOM WE T3 读写控制逻辑 实验原理图如下如所示,存储器数据线接至数据总线,数据总线上接有8 个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

静态随机存储器实验

实 验 项 目 静态随机存储器实验实验时间2015-11-14 实 验 目 的 掌握静态随机存储器RAM 工作特性及数据的读写方法。 实 验 设 备 PC机一台,TD-CMA实验系统一套 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图2-1-1 SRAM 6116引脚图所示。6116 有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1-1 所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。 图2-1-1 SRAM 6116引脚图 由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM的读写,实验中的读写控制逻辑如图2-1-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出(时序单元的介绍见附录2)。IOM用来选择是对I/O 还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实 验 原 理 图2-1-2 读写控制逻辑 实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 图2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR按钮。 实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。

存储器管理实验报告.docx

操作系统实验报告 存储器管理 学院电信学院 专业计算机科学与技术 班级 14级计科一班 实验题目动态分区分配 实验组别第三组 指导老师曹华

一、实验目的 了解动态分区分配方式中使用的数据结构和分配算法,并进一步加深对动态分区存储管理方式及其实现过程的理解。 二、实验内容 用C语言分别实现采用首次适应算法和最佳适应算法的动态分区分配过程alloc()和回收过程free()。其中,空闲分区通过分区链来管理,在进行内存分配时,系统优先使用空闲区低端的空间。 请分别用首次适应算法和最佳适应算法进行内存块的分配和回收,要求每次分配和回收后显示出空闲内存分区链的情况。 三、实验主要仪器设备 软件环境:VC++6编程环境 四、实验原理及设计方案 1.实验原理: 可变分区调度算法有:最先适应分配算法,循环首次适应算法,最佳适应算法,最坏适应算法。 首次适应算法(First-fit):当要分配内存空间时,就查表,在各空闲区中查找满足大小要求的可用块。只要找到第一个足以满足要求的空闲块就停止查找,并把它分配出去; 如果该空闲空间与所需空间大小一样,则从空闲表中取消该项;如果还有剩余,则余下的部分仍留在空闲表中,但应修改区分大小和分区始址。 用户提出内存空间的申请:系统根据申请者的要求,按照一定的分配策略分析内存空间的使用情况,找出能满足请求的空闲区,分给申请者;当程序执行完毕或主动归还内存资源时,系统要收回它所占用的内存空间或它归还的部分内存空间。 最佳适应算法(Best-fit):当要分配内存空间时,就查找空闲表中满足要求的空闲块,并使得剩余块是最小的。然后把它分配出去,若大小恰好合适,则直按分配;若有剩余块,则仍保留该余下的空闲分区,并修改分区大小的起始地址。 内存回收:将释放作业所在内存块的状态改为空闲状态,删除其作业名,设置为空,并判断该空闲块是否与其他空闲块相连,若释放的内存空间与空闲块相连时,则合并为同一个空闲块,同时修改分区大小及起始地址。 每当一个进程被创建时,内存分配程序首先要查找空闲内存分区链,从中寻找一个合适的空闲块进行划分,并修改空闲内存分区链,系统根据回收区的首址,从空闲区链中找到相应的插入点,此时出现如下四种情况: (1)回收区与插入点的前一个空闲区F1相邻接,此时可将回收区直接与F1合并,并修改F1的大小; (2)回收区与插入点的后一个空闲分区F2相邻接,此时可将回收区直接与F2合并,并用回收区的首址作为新空闲区的首址,大小为二者之和; (3)回收区同时与插入点的前后两个空闲分区邻接,此时需将三者合并; (4)回收区不与任何一个空闲区邻接,此时应建一新的表项 2.主要数据结构的说明 定义一个空闲区说明表结构

静态随机存储器实验实验报告

**大学 实验(实训)报告 实验名称运算器、存储器所属课程计算机组成与结构所在系计算机科学与技术班级 学号 姓名 指导老师 实验日期

**大学实验(实训)报告 实验静态随机存储器实验 2.1. 实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2.2. 实验内容 给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据 11H、12H、13H、14H、15H,再依次读出数据。 2.3. 实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 2.4. 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如下图,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。 图2-1 SRAM 6116 引脚图 由于存储器最终挂接到CPU上,所以还需要一个读写控制逻辑,使得CPU能控制MEM 的读写,实验中的读写控制逻辑如图2-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出。IOM用来选择是对 I/O还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实验原理如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0 的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器给出。数据开关经一个三态门连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 和MW 低有效,LDAR 高有效。 2.5.实验步骤 MR MW D7 —————D0D7 —————D0 A7 —————A0 OE CS T3 IOM RD WE 读写译码 RD WR 74LS27374LS245IN 单元 AD7 | || AD0 LDAR IOR IN_B A10 —A8————— ————— ——————————----—————6116

静态随机存储器实验

静态随机存储器实验 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二、实验设备 (1)TDN-CM+或者TDN-CM++教学试验系统一套和导线若干。 (2)PC机(或示波器)一台。 三、实验原理 实验所用的半导体静态存储器电路原理如图1所示。(见最后一页) 实验中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。(地址灯为AD0--AD7显示地址线内容。)数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 地址寄存器为8位,接入6116的地址A7—A0,其高三位A8—A10接地,那么实际容量为256字节。 6116有三个控制线:CE(片选线),OE(读线),WE(写线)。当CE=0和OE=0时进行读操作,WE=0时进行写操作。 本实验中将OE常接地,在此情况下,当CE=0,WE=0时进行读操作,CE=0,WE=1时进行写操作,其写时间与T3脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由SWITCH UNIT单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。 四、实验步骤 (1)具体接线方法如图2所示。(见最后一页)按图连接实验线路,仔细查线无误后,接通电源。 (2)形成时钟脉冲信号T3。 1、接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1及W2, 使H23端输出实验所期望的频率的方波。 2、将时序电路模块中的φ和H23排针相连。 3、在时序电路模块中有两个二进制开关STOP和STEP。将STOP开关置为 "RUN"状态,STEP开关置为"EXEC"状态时,按动微动开关START,则T3输出。 为连续的方波信号.此时,调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。同时可测得T3的频率和占空比(我用的是f=85.03HZ 占空比为0.24)。 然后使STOP开关为"RUN"状态,STEP开关为"STEP"状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 (3)写存储器。给存储器的00,01,02,03,04地址单元分别写入数据11H,12H,13H,14H,15H。具体如下 1、写地址。关闭存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开数据开关三态门(SW-B=0),由数据开关给出所要写入的存储单元的地址,按动START产生T3脉冲将地址打入地址锁存器。

计算机组成原理实验静态随机存储器

实验二SRAM 静态随机存储器实验 存储器是计算机各种信息存储与交换的中心。在程序执行过程中,所要执行的指令是从存储器中获取,运算器所需要的操作数是通过程序中的访问存储器指令从存储器中得到,运算结果在程序执行完之前又必须全部写到存储器中,各种输入输出设备也直接与存储器交换数据。把程序和数据存储在存储器中,是冯·诺依曼型计算机的基本特征,也是计算机能够自动、连续快速工作的基础。 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1所示,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。 图2-1 SRAM 6116引脚图 由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU能控制MEM 的读写,实验中的读写控制逻辑如图2-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出。IOM用来选择是对I/O还是对MEM进行读写操作,RD=1时为读,WR=1时为写。 表2-1 SRAM 6116功能表 CS WE OE功能 1 0 0 0× 1 × 1 不选择 读 写 写

XMRD XMWR XIOW XIOR RD T3WR 图2-2 读写控制逻辑 实验原理图如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 RD WR 图2-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 和MW 低有效,LDAR 高有效。

实验二-静态随机存储器-(罗忠霖)

集美大学计算机工程学院实验报告 课程名称:计算机组成原理指导教师:汪志华实验成绩:实验项目编号: 3.6实验项目名称:静态随机存储器实验 班级:计算1013姓名:何荣贤 罗忠霖学号:2010810071 2010810072 上机实践日期:2012/11/15上机实践时间:2学时 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读/写方法。 二、实验设备 (1)TDN-CM+或TDN-CM++教学实验系统一套; (2)PC机(或示波器)一台; 三、实验原理 ⑴、在此实验中,所用的半导体静态存储器又一片6116(2K×8)构成,其数据线接至 数据总线,地址线又地址锁存器(74LS273)给出。地址灯AD7-AD0与地址线连, 显示地址线内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和 数据。 ⑵、6116有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效 (CE=0)时,OE=0时进行读操作,WE=0时进行写操作,在本实验中,将OE 常接地,因此6116的引脚信号WE = 1时进行度操作,WE = 0时进行写操作。 ⑶、要对存储器进行读(写)操作,必须设置控制端CE=0,WE=0(WE=1),同时有T3 脉冲到来。 ⑷、实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可 调(在本实验中影响不大),其它电平控制信号由“SWITCH UNIT”单元的二进制 开关模拟,其中SW-B(三态门)为低电平有效,LDAR为高电平有效。 四、实验步骤 (1)将时序电路模块中的Φ和H23排针相连。将时序电路模块中的二进制开关 “STOP”设置为“RUN”状态、将“STEP”设置为"STEP"状态。注意:关于stop 和step的说明: 将“STOP”开关置为“Run"状态、“STEP”开关置为“EXEC” 状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器 W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为 “RUN”状态、“STEP”开关置为"STEP"状态时,每按动一次微动开关START, 则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 (2)按图2连接实验线路,仔细查线无误后接通电源。

计算机组成原理存储器读写和总线控制实验实验报告

信息与管理科学学院计算机科学与技术 实验报告 课程名称:计算机组成原理 实验名称:存储器读写和总线控制实验 姓名:班级:指导教师:学号: 实验室:组成原理实验室 日期: 2013-11-22

一、实验目的 1、掌握半导体静态随机存储器RAM的特性和使用方法。 2、掌握地址和数据在计算机总线的传送关系。 3、了解运算器和存储器如何协同工作。 二、实验环境 EL-JY-II型计算机组成原理实验系统一套,排线若干。 三、实验内容 学习静态RAM的存储方式,往RAM的任意地址里存放数据,然后读出并检查结果是否正确。 四、实验操作过程 开关控制操作方式实验 注:为了避免总线冲突,首先将控制开关电路的所有开关拨到输出高电平“1”状态,所有对应的指示灯亮。 本实验中所有控制开关拨动,相应指示灯亮代表高电平“1”,指示灯灭代表低电平“0”。连线时应注意:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。 1、按图3-1接线图接线: 图3-1 实验三开关实验接线 2、拨动清零开关CLR,使其指示灯显示状态为亮—灭—亮。 3、往存储器写数据:

以往存储器的(FF ) 地址单元写入数据“AABB ”为例,操作过程如下: 4、按上述步骤按表3-2所列地址写入相应的数据 表3-2 5、从存储器里读数据: 以从存储器的(FF ) 地址单元读出数据“AABB ”为例,操作过程如下: (操作) (显示) (操作) (显示) (操作) (显6、按上述步骤读出表3-2数据,验证其正确性。 五、实验结果及结论 通过按照实验的要求以及具体步骤,对数据进行了严格的检验,结果是正确的,具体数据如图所示:

计算机组成原理静态随机存储器实验

实验报告 一、实验名称 静态随机储存器实验 二、实验目的 掌握静态随机储存器RAM的工作特性和数据的读写方法 三、实验设备 TDN-CM++计算机组成原理教学实验系统一套,导线若干。 四、实验原理 实验所用的半导体静态存储器电路原理如图1所示,实验中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯ADO~AD7与地址线相连,显示地址线内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7--AO,而高三位A8—A1O接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、0E(读线)、WE(写线)。当片选有效(CE=O)时,OE=O时进行读操作,WE=0时进行写操作。本实 验中将0E常接地,因此6116的引脚信号WE=1时进行读操作,WE=0时进行写操作。 在此情况下,要对存储器进行读操作,必须设置控制端CE=O、WE=O,同时有T3脉冲到来,要对存储器进行写操作,必须设置控制端CE=O、WE=1,同时有T3脉冲到来,其读写时间与T3脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。

图1 存储器实验原理图 五、实验内容 1. 向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯 上显示;再将数据送入总线后,存到指定的存储单元,数据在数据显示灯和数码 显示管显示。 2. 从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯 显示; 读出的数据送入总线, 通过数据显示灯和数码显示管显示。 六、实验步骤 (1)将时序电路模块中的Φ和H23排针相连。 将时序电路模块中的二进制开关“STOP”设置为“RUN”状态、将“STEP”设置为"STEP"状态。 注意:关于stop和step的说明: 将“STOP”开关置为“Run"状态、“STEP”开关置为“EXEC”状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为“RUN”状态、“STEP”开关置为"STEP"状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。

半导体存储器原理实验报告

计算机组成原理课程设计实验报告 学院:计算机科学与工程学院 专业:计算机科学与技术 班级:计科一班 学号: 姓名: 评分:

2013年05月17日 半导体存储器原理实验报告 1.实验目的 2.(1)掌握静态存储器的工作特性及其使用方法; (2)掌握半导体随机存储器如何存储和读取数据。 3.实验原理 4.实验内容 如图,在protues里进行电路连接。 (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。

(2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。(3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS244与数据总线相连,分别给出地址和数据。 4)用74LS273作为锁存器,连接总线与存储器。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。5.数据记录:

DSW SW7-SW074LS373 (OE)# 74LS273 CLK 611674LS244 Q7-Q0 6116 D7-D0 备注 (CE)#(OE)#(WE)# 000000000111100000000——地址00H 写入AR 0000000101001——00000001数据01H 写入RAM 000100000111100010000——地址10H 写入AR 0000001001001——00000010数据02H 写入RAM 00000000000000000000000000000地址00H 读出数据00010000000000001000000010000地址10H 读出数据 总结及心得体会 在学总线那一章节时,对于老师提到的各种74LSXXX名词,总是云里 雾里的,经过实验,现在对总线这一章节的内容有了更为清晰的认识,也 了解到了各种74LSXXX的功能以及在模拟电路中起到的作用。 11级计算机科学与技术一班: 2013年05月17日

虚拟存储器管理实验报告

淮海工学院计算机科学系实验报告书 课程名:《操作系统》 题目:虚拟存储器管理 页面置换算法模拟实验 班级: 学号: 姓名:

一、实验目的与要求 1.目的: 请求页式虚存管理是常用的虚拟存储管理方案之一。通过请求页式虚存管理中对页面置换算法的模拟,有助于理解虚拟存储技术的特点,并加深对请求页式虚存管理的页面调度算法的理解。 2.要求: 本实验要求使用C语言编程模拟一个拥有若干个虚页的进程在给定的若干个实页中运行、并在缺页中断发生时分别使用FIFO和LRU算法进行页面置换的情形。其中虚页的个数可以事先给定(例如10个),对这些虚页访问的页地址流(其长度可以事先给定,例如20次虚页访问)可以由程序随机产生,也可以事先保存在文件中。要求程序运行时屏幕能显示出置换过程中的状态信息并输出访问结束时的页面命中率。程序应允许通过为该进程分配不同的实页数,来比较两种置换算法的稳定性。 二、实验说明 1.设计中虚页和实页的表示 本设计利用C语言的结构体来描述虚页和实页的结构。 在虚页结构中,pn代表虚页号,因为共10个虚页,所以pn的取值范围是0—9。pfn代表实页号,当一虚页未装入实页时,此项值为-1;当该虚页已装入某一实页时,此项值为所装入的实页的实页号pfn。time项在FIFO算法中不使用,在LRU中用来存放对该虚页的最近访问时间。 在实页结构中中,pn代表虚页号,表示pn所代表的虚页目前正放在此实页中。pfn代表实页号,取值范围(0—n-1)由动态指派的实页数n所决定。next是一个指向实页结构体的指针,用于多个实页以链表形式组织起来,关于实页链表的组织详见下面第4点。 2.关于缺页次数的统计 为计算命中率,需要统计在20次的虚页访问中命中的次数。为此,程序应设置一个计数器count,来统计虚页命中发生的次数。每当所访问的虚页的pfn项值不为-1,表示此虚页已被装入某实页内, 此虚页被命中,count加1。最终命中率=count/20*100%。 3.LRU算法中“最近最久未用”页面的确定 为了能找到“最近最久未用”的虚页面,程序中可引入一个时间计数器countime,每当要访问 一个虚页面时,countime的值加1,然后将所要访问的虚页的time项值设置为增值后的当前

实验二:SRAM 静态随机存储器实验

《计算机组成原理》 实验报告 实验二:SRAM 静态随机存储器实验 学院: 专业: 班级学号: 学生姓名: 实验日期: 指导老师: 成绩评定: 计算机学院计算机组成原理实验室

实验二 一、实验名称:SRAM 静态随机存储器实验 二、实验目的: 掌握静态随机存储器RAM工作特性及数据的读写方法。 三、实验内容: 1、向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯上显示;再将数据送入总线后,存到指定的存储单元,数据在数据显示灯显示。 2、从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯显示; 读出的数据送入总线, 通过数据显示灯显示。 四、实验设备: PC机一台,TD-CMA实验系统一套。 五、实验步骤: 1、关闭实验系统电源,按图2-4 连接实验电路,并检查无误,图中将用户需要连接的信号用圆圈标明。 2、将时序与操作台单元的开关KK1、KK3 置为运行档、开关KK2 置为…单步?档。 3、将CON 单元的IOR 开关置为1(使IN 单元无输出),打开电源开关,如果听到有…嘀?报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。

图2-4 4、给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据11H、12H、13H、14H、15H。 由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST 产生T3 脉冲,即将地址打入到AR 中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,

实验三半导体存储器原理实验(精)

实验五半导体存储器原理实验 一、实验目的 掌握静态随机存取存储器RAM工作特性及数据的读写方法。 二、实验内容 1、实验原理 主存储器单元电路主要用于存放实验机的机器指令,如图所示,它的数据总线连到外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平点亮,低电平熄灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R`提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。 由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1为第一片选线、CS2为第二片选线、OE读出使能线及WE写使能线。其功能如表所示。CS1片选线由CE’控制(对应开关CE)、OE读出使能线直接接地、WE写使能线由W/R’控制(对应开关WE)、CS2直接接+5V。 图中信号线LDAR由开关LDAR提供,手动方式实验时,跳线器LDAR拨至左侧,脉冲信号T3由实验机上时序电路模块TS3提供,实验时只需将J22跳线器连上即可,T3的脉冲宽度可调。 2、实验接线 ①MBUS连BUS2; ②EXJ1连BUS3; ③跳线器J22的T3连TS3; ④跳线器J16的SP连H23; ⑤跳线器SWB、CE、WE、LDAR拨至左侧(手动位置)。

实验五存储器读写实验报告

实验五存储器读写实验报告 实验报告 课程名:《计算机组成原理》题目:实验五存储器读写班级:计算机+ 自动化0901班姓名:张哲玮,郑俊飞 《计算机组成原理》实验报告- 1 - 实验五、存储器读写实验 一、目的与要求 (1)掌握存储器的工作特性 (2)熟悉静态存储器的操作过程,验证存储器的读写方法 二、实验原理及原理图 (1)?静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的静态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态,输出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态;11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线CS片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;WE 为写入控制信号,低电平时,把数据线上的信息存入地址线A10-A0指示的存储单元中;0E为输出使能控制信号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数据线上。

6116芯片控制信号逻辑功能表 (2).存储器实验单元电路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的3根地址线A11-A8接地也没有多片联用问题,片选信号CS接地使芯片总是处于被选中状态。芯片的WE和0E信号分别连接实验台的存储器写信号M-W和存储器读信号M-Ro这种简化了控制过程的实验电路可方便实验进行。 存储器部件电路图 (3)?存储器实验电路 存储器读\写实验需三部分电路共同完成:存储器单元(MEM UNIT),地址寄存器单元(ADDRESS UNIT)和输入,输出单元(INPUT/OUTPIT UNIT).存储器单元6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用是把总线上的数据送入地址寄存器,向存储器单元电路提供地址信息,输入,输出单元作用与以前相同。

静态随机存储器实验实验报告

**大学 实验(实训)报告 实验名称运算器、存储器 所属课程计算机组成与结构 所在系计算机科学与技术 班级 学号 姓名 指导老师 实验日期 实验静态随机存储器实验 2、1、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2、2、实验内容 给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据 11H、12H、13H、14H、15H,再依次读出数据。 2、3、实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 2、4、实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如下图,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。

的读写,一致,T3 时为读,WR=1 实验原理如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0 的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器给出。数据开关经一个三态门连至数据总线,分时给出地址与数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

MR MW D7 —————D0D7 —————D0 A7 —————A0 OE CS T3 IOM RD WE 读写译码 RD WR 74LS27374LS245IN 单元 AD7 ||| AD0 LDAR IOR IN_B A10 —A8————— ————— ——————————----—————6116 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 与MW 低有效,LDAR 高有效。 2、5.实验步骤

2.1 静态随机存储器实验

2.1 静态随机存储器实验 2.1.1 实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2.1.2 实验设备 PC机一台,TD-CMA实验系统一套。 2.1.3 实验原理 实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 RD WR 图2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM 应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。 2.1.4 实验步骤 (1) 关闭实验系统电源,按图2-1-4连接实验电路,并检查无误,图中将用户需要连接的信号用圆圈标明。 (2) 将时序与操作台单元的开关KK1、KK3置为运行档、开关KK2置为‘单步’档(时序单元的介绍见附录二)。 (3) 将CON单元的IOR开关置为1(使IN单元无输出),打开电源开关,如果听到有

‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。 图2-1-4 实验接线图 (4) 给存储器的00H、01H、02H、03H、04H地址单元中分别写入数据11H、12H、13H、14H、15H。由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST产生T3脉冲,即将地址打入到AR中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1,RD=0,IOM=0),按动ST产生T3脉冲,即将数据打入到存储器中。写存储器的流程如图2-1-5所示(以向00地址单元写入11H为例): WR = 0 RD = 0 IOM = 0 IOR = 0 LDAR = 0 WR = 0 RD = 0 IOM = 0 IOR = 0 LDAR = 1 T3= WR = 0 RD = 0 IOM = 0 IOR = 0 LDAR = 0 WR = 1 RD = 0 IOM = 0 IOR = 0 LDAR = 0 T3= 图2-1-5 写存储器流程图 (5) 依次读出第00、01、02、03、04号单元中的内容,观察上述各单元中的内容是否与前面写入的一致。同写操作类似,也要先给出地址,然后进行读,地址的给出和前面一样,而在进行读操作时,应先关闭IN单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1,IOM=0),此时数据总线上的数即为从存储器当前地址中读出的数据内容。读存储器的流程如图2-1-6所示(以从00地址单元读出11H为例):

相关文档
最新文档