数电实验实验三、四

数电实验实验三、四
数电实验实验三、四

1

2.3 数据选择器 2.

3.1 实验目的

1.测试集成数据选择器74151的逻辑功能。 2.用74151构成大、小月份检查电路。

3.用74151构成比较2个4位二进制数是否相等的电路。 2.3.2 实验设备与器件

1.74151型8选1数据选择器1块 2.7404型六反相器1块 2.3.3 实验原理

数据选择器从多路输入数据中选择其中的一路数据送到电路的输出端。数据选择器分为4选1数据选择器和8选1数据选择器。74151是8选1数据选择器,数据输入端0D ~7D 是8位二进制数,2A 1A 0A 是地址输入端,Y 和Y 是一位互补的数据输出端,S 是控制端。其管脚如图2-3-1所示,逻辑功能如表2-3-1所示。 74151的逻辑表达式是:

)A A A (D )A A A (D )A A A (D )A A A (D Y 0123012201210120+++=

)A A A (D )A A A (D )A A A (D )A A A (D 0127012601250124++++

图2-3-1 74151管脚图

逻辑开关

LED

图2-3-2 74151逻辑功能测试图

D0D1D2D3D4D5D6D7A2A1A0

Y

VCC GND

Y

S

74151

4321151413121610117

98

56

2

表2-3-1 74151功能表

2.3.4预习要求

1. 理解数据选择器的工作原理,掌握四选一数据选择器和八选一数据选择器的逻辑表达式。

2. 查找八选一数据选择器74151

的管脚图。

3. 写出大、小月检查电路的设计方法,要求是:用4位二进制数0123A A A A 表示一年中的十二个月,从0000~1100为1月到12月,其余为无关状态;用Y 表示大小月份,Y=0为月小(二月也是小),Y=1为月大(7月和8月都是月大)。 4.用两片74151设计一个判断两个2位二进制数是否相等的电路。 5.根据实验内容的要求,完成有关实验电路的设计,拟好实验步骤。 6.写出预习报告,设计好记录表格。 2.3.5实验内容

1.74151逻辑功能测试

接线如图2-3-2所示,按表2-3-2输入选择信号,将结果填入表2-3-2内,并判断结果是否正确。

表2-3-2 74151逻辑功能测试数据表

2.大、小月份检查电路

接线如图2-3-3所示,0123A A A A 接逻辑开关,按表2-3-3输入选择信号,并将结果填入表内。判断输出Y 与大、小月份之间的关系。

3

表2-3-3 大、小月份检查电路的输入、输出关系数据表

3.设计一个比较判断两个2位二进制数是否相等的电路

设0101,B B B A A A ==。要求当A=B 时,Y 输出1;当B A ≠时,Y 输出0。 (1) 根据此逻辑问题列出真值表。 (2) 写出逻辑表达式。

(3) 用数据选择器74151和反相器7404实现此逻辑问题,并验证结果是否正确。

2.3.6 实验报告要求

1. 简述74151的工作原理,写出74151的逻辑表达式,说明使能端S 的作用。 2.说明大、小月检查电路的设计方法。

3.简述判断两个2位二进制数是否相等的电路设计方法。

4. 整理实验数据,并对实验结果进行分析和讨论。

2.3.7 思考题

1.用74151可以实现几变量的组合逻辑函数?

2.用74151实现组合逻辑函数,应将逻辑函数变换成何种形式?

3.如果用两块74151实现两个4位二进制数的比较,应如何实现?

2.3.8 注意事项

1.74151在工作时,使能端必须接低电平。

2.74151是8选1数据选择器,八路数据中每一路数据都是一位二进制数。如果每一路数据是多位(例如4位)二进制数,就可以用多个74151并行工作来实现。

4

2.5 译码器和七段字符显示器

2.5.1实验目的

1.测试74138型3线-8线译码器的逻辑功能。 2.用3线-8线译码器实现组合逻辑电路。

3.掌握BCD-七段显示译码器的逻辑功能,了解七段字符显示器的使用方法。。 2.5.2实验设备与器件

1.74138型3线-8线译码器1块 2.7420型4输入端二与非门1块 3.7448型BCD-七段显示译码器1块 4.共阴极LED 七段字符显示器 1 块 2.5.3实验原理

译码器将一组二进制代码翻译成对应的高低电平信号输出。常用的译码器有3线-8线译码器、二-十进制译码器和BCD -七段显示译码器。

1.3线-8线译码器

集成电路74138是3线-8线译码器,其管脚图如图2-5-1所示,逻辑功能如表2-5-1所示。012A A A 是地址输入端,0Y ~7Y 是译码器的输出端(低电平有效),1S 、2S 、3S 是三个控制端,用于控制译码器的工作状态。当1S 1=,0S S 32==时,输出函数的逻辑关系式是:

0120A A A Y =

0121

A A A Y =

0122A A A Y =

0127A A A Y =

2-5-1 74138逻辑功能表

2.BCD -七段显示译码器

图2-5-1 74138管脚图

BCD-七段显示译码器将BCD码翻译成七段显示字符码输出,驱动七段字符显示器。由于LED七段显示器有共阳极和共阴极两种结构,故所对应的显示译码器也不同。

使用共阳七段显示器时,公共阳极接电源电压,七个阴极a~g由相应的BCD-七段显示译码器的输出端,选用七段显示译码器低电平有效。对共阴七段显示器来说,公共阴极接地,相应的BCD-七段译码器的输出驱动a~g各阳极,则选用七段显示译码器高电平有效。

驱动共阴数码管的BCD一七段显示译码器属于TTL电路的有7448和7449等,该功能CMOS电路有CD4511和MC14513等。驱动共阳数码管的显示译码器有7446和7447等。

集成电路CD4511是BCD-七段锁存/译码/驱动器,它驱动共阴极七段显示器,管脚图如图2-5-2

DCBA为BCD码4位二进制数输入端,BI为消隐功能端,BI=l,正常显示;BI=0,七段显示器不显示。LT为灯测试端,LT=1,正常显示;LT=0,显示器显示8。LE为锁存端,LE=0不锁存,译码器输出随输入BCD码变化;当LE上升沿到来时,将输入的BCD码锁存。CD4511与七段显示器的连接电路如图2-5-3所示。根据表2-5-2提供的数据,当在CD4511的输入端输入四位二进制数时,七段显示器显示相应的字形。

表2-5-2 CD4511功能表

1

2.5.4预习要求

1. 阅读教材中有关译码器的内容。

2. 熟悉74138、CD4511等集成电路的逻辑功能,查找它们的管脚图。

3.理解组合逻辑电路的实现方法,写出用74138实现一位全加器的逻辑表达式,画出电路图。

4.根据实验内容的要求,完成有关实验电路的设计,拟好实验步骤。 5.写出预习报告,设计好记录表格。

2.5.5实验内容

1.74138逻辑功能测试

将译码器使能端1S 、2S 、3S 及地址端2A 1A 0A 分别接至逻辑开关,八个输出端Y0 ~Y7依次连接至八个LED 显示,如图2-5-4所示,按表2-5-1测试74138的逻辑功能。

2.用74138和7420构成1位二进制全加器 7420的管脚图和逻辑符号如图2-5-5所示。

(1) 参见实验2.2中的实验内容2.2.3,写出1位二进制全加器真值表。 (2) 写出和S 及进位CO 的逻辑表达式。

(3) 画出用74138和7420构成1位二进制全加器的电路图。

LED

1

(4) 搭建电路,验证结论的正确性。

3.BCD 码七段显示验证

实验电路如图2-5-3所示,其中DCBA 为BCD 码,分别接至四只逻辑开关,按照表2-5-2输入数据的要求,观察七段显示器显示是否正确。

2.5.6实验报告要求

1. 简述74138的逻辑功能,写出其逻辑表达式。

2.简述用74138实现一位全加器的原理,写出逻辑表达式,画出电路图。 3.画出CD4511与七段字符显示器的连接电路。 4. 对实验结果进行分析、讨论。

2.5.7 思考题

1.用一片74138能够实现几变量的组合逻辑函数? 2.如何用两片74138构成4线-16线译码器?

2.5.8注意事项

1. 74138有三个控制端,只有当1S 1=,0S S 32==时,译码器才能正常工作;否则,译码器输出端全部是高电平。

2.CD4511输出端与七段字符显示器之间必须串联限流电阻,否则会损坏器件。

V

数电实验报告:实验3-触发器

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验3:触发器逻辑功能测试及应用 一、实验目的 1、掌握集成触发器的逻辑功能及使用方法 2、熟悉触发器之间相互转换的方法 二、实验内容及步骤 1、测试双JK 触发器74LS112逻辑功能。 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK 触发器,是下降边沿触发的边沿触发器。JK 触发器的状态方程为Q * =J Q +K Q (1)JK 触发器74LS112逻辑电路引脚图如下: 图1 (2)测试复位、置位功能,将测试结果填入表1。 表1 (3)触发功能测试,按表2要求测试JK 触发器逻辑功能。 表2 GDOU-B-11-112

(4) 根据图 2逻辑图将JK 触发器分别连接成T 触发器和T ′触发器,并通过做实验进行验证。 注释:T 触发器的逻辑功能:当T =0时,时钟脉冲作用后,其状态保持不变;当T =1时,时钟脉冲作用后,触发器状态翻转。如果将T 触发器的T 端置“1”,即得T'触发器。在T'触发器的CP 端每来一个CP 脉冲信号,触发器的状态就翻转一次,故称之为反转触发器,广泛用于计数电路中。 图2 2、测试双D 触发器74LS74的逻辑功能 在输入信号为单端的情况下,D 触发器用起来最为方便,其状态方程为 Q * =D ,其输出状态的更新发生在CP 脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D 端的状态,D 触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。 (1)D 触发器74LS74逻辑电路引脚图3所示。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

成贤数字电路实验习题答案

数字电路习题答案(第一、二次实验) 2009-12-18 09:10 实验一: 1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。问若是“外触发”,是否也会影响信号波形的显示呢? 解:这道题主要从以下几种情况来分析: A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示; B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。 C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。 2. 实验内容3中,如何用示波器观察CH1+CH2的波形? 解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。 3. 简述用示波器测量TTL高、低电平的步骤。 解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。 4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?

(完整版)离散数学实验指导书及其答案

实验一命题逻辑公式化简 【实验目的】加深对五个基本联结词(否定、合取、析取、条件、双条件)的理解、掌握利用基本等价公式化简公式的方法。 【实验内容】用化简命题逻辑公式的方法设计一个表决开关电路。 实验用例:用化简命题逻辑公式的方法设计一个 5 人表决开关电路,要求 3 人以上(含 3 人)同意则表决通过(表决开关亮)。 【实验原理和方法】 (1)写出5人表决开关电路真值表,从真值表得出5 人表决开关电路的主合取公式(或主析取公式),将公式化简成尽可能含五个基本联结词最少的等价公式。 (2)上面公式中的每一个联结词是一个开关元件,将它们定义成 C 语言中的函数。 (3)输入5人表决值(0或1),调用上面定义的函数,将5人表决开关电路真值表的等价公式写成一个函数表达式。 (4)输出函数表达式的结果,如果是1,则表明表决通过,否则表决不通过。 参考代码: #include int vote(int a,int b,int c,int d,int e) { // 五人中任取三人的不同的取法有10种。 i f( a&&b&&c || a&&b&&d || a&&b&&e || a&&c&&d || a&&c&&e || a&&d&&e || b&&c&&d || b&&c&&e || b&&d&&e || c&&d&&e) return 1; else return 0; } void main() { i nt a,b,c,d,e; printf(" 请输入第五个人的表决值(0 或1,空格分开):"); scanf ("%d%d%d%d%d",&a,&b,&c,&d,&e); i f(vote(a,b,c,d,e)) printf(" 很好,表决通过!\n"); else printf(" 遗憾,表决没有通过!\n"); } // 注:联结词不定义成函数,否则太繁 实验二命题逻辑推理 【实验目的】加深对命题逻辑推理方法的理解。【实验内容】用命题逻辑推理的方法解决逻辑

数电实验三综述

湘潭大学实验报告 课程名称数学逻辑与数字电路实验名称时序电路实验——计数器和移位寄存器_ 页数 6 专业计算机科学与技术班级_ 二班_ 学号2014551442 姓名肖尧实验日期_ 2016/5/14_ 一、实验目的 1.验证同步十六位计数器的功能。 2.设计一个8位双向移位寄存器,理解移位寄存器的工作原理,掌握串入/并出端口控制的描述方法。 3.进一步熟悉Quartus II的Verilog HDL文本设计流程,掌握组合电路的设计仿真和硬件测试。 4.初步掌握Quartus II基于LPM宏模块的设计流程与方法,并由此引出基于LPM模块的许多其他实用数学系统的自动设计技术。 二、实验要求 1.用Quartus II的Verilog HDL进行计数器的设计与仿真 2.用LPM宏模块设计计数器。 3.用Quartus II的Verilog HDL进行8位双向移位寄存器设计 4.在实验系统上进行硬件测试,验证这两个设计的功能。 5.写出实验报告。 三、实验原理 计数器能记忆脉冲的个数,主要用于定时、分频、产生节拍脉冲及进行数字运算等。加法计数器每输入一个CP脉冲,加法计数器的计数值加1.十六进制计数即从0000一直计数到1111;当计数到1111时,若再来一个CP脉冲,则回到0000,同时产生进位1。 同步十六进制计数器设计采用if-else语句对计数器的输出分别进行赋值,能实现对输入脉冲的计数,并具有使能和异步清零功能。 移位寄存器不仅具有存储代码的功能,而且在移位脉冲作用下,还有左移、右移等功能。设计一个8位二进制双向移位寄存器,能实现数据保持、右移、左移、并行置入和并行输出等功能。移位寄存器有三种输入方式:8位并行输入、1位左移串行输入、1位右移串行输入;有一种输出方式:8位并行输出。双向移位寄存器工作过程如下: (1)当1位数据从左移串行输入端输入时,首先进入内部寄存器最高位,并在并行输出口最高位输出,后由同步时钟的上升沿触发向左移位。 (2)当1位数据从右移串行输入端输入时,首先进入内部寄存器最低位,并在并行输出口最低位输出,后由同步时钟的上升沿触发向右移位。 四、实验内容 1.利用Quartus II完成计数器、8位双向移位寄存器的文本编辑输入和仿真测试,给出仿真波形。 2. 用LPM宏模块设计计数器 3.给他们进行引脚锁定,然后硬件下载测试。 五、实验环境与设备 Quartus II以及进行硬件测试的实验箱。 六、实验代码设计(含符号说明)

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

电工学实验答案

哈哈、b两端电压测量的准确性。 电流表的内阻越小越好,以减小其上的电压,以保证a、b支路电流测量的准确性。 实验4 RLC串联交流电路的研究 七、实验报告要求及思考题 2列表整理实验数据,通过实验总结串联交流电路的特点。 答:当X L X C时,电路呈电感性,此时电感上的电压大于电容上的电压,且电压超前电流。 当X L=X C时,电路发生串联谐振,电路呈电阻性,此时电感上的电压与电容上的电压近似相等,且大于输入电压。电路中的电流最大,电压与电流同相位。 4从表4.1~4.3中任取一组数据(感性、容性、电阻性),说明总电压与分电压的关系。答:取f=11kHz时的数据:U=6V,U R=3.15V,U Lr=13.06V,U C=8.09V,将以上数据代入 公式 2 2 2 2) ( ) ( C L C L R X X R I U U U U- + = - + = =5.88V,近似等于输入电压6V。 6实验数据中部分电压大于电源电压,为什么? 答:因为按实验中所给出的频率,X L及X C的值均大于电路中的总阻抗。 9本实验中固定R、L、C参数,改变信号源的频率,可改变电路的性质。还有其它改变电路性质的方法吗? 答:也可固定频率,而改变电路中的参数(R、L、C)来改变电路的性质。 实验5 感性负载与功率因数的提高 七、实验报告要求及思考题 6根据表5.2所测数据和计算值,在坐标纸上作出I=f(C)及cos ?= f(C)两条曲线。 说明日光灯电路要提高功率因数,并联多大的电容器比较合理,电容量越大,是否越高? 答:并联2.88uF的电容最合理,所得到的功率因数最大.由实验数据看到,并联最大电容4.7uF时所得的功率因数并不是最大的,所以可以得出,并不是电容量越大,功率因数越高. 8说明电容值的改变对负载的有功功率P、总电流I,日光灯支路电流I RL有何影响?答:电容值的改变并不会影响负载的有功功率及日光灯支路的电流. 11提高电路的功率因数为什么只采用并联电容法,而不采用串联法? 答:因为串联电容虽然也可以提高功率因数,但它会使电路中的电流增大,从而增大日光灯的有功功率,可能会超过它的额定功率而使日光灯损坏. 实验6 三相交流电路 七、实验报告要求及思考题 2根据实验数据分析:负载对称的星形及三角形联接时U l与U p,I l与I p之间的关系。分析星形联接中线的作用。按测量的数据计算三相功率。

模电实验指导书test2

实验一、常用仪器的使用及常用器件的认识、检测一、实验目的 1.学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的技术指标、性能及正确使用方法。 2.初步掌握双踪示波器观察正弦信号波形和读书波形参数的方法。 3.认识常见的电子元器件及其检测方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等。它们和万用电表在一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各中电子仪器进行综合使用,可按照信号流向,一连先简捷,调节顺手,观察与读数方便等原则进行合理布局,个仪器与被册实验装置之间的布局与连线如图1——1所示。接线是应注意,为了防止外界的干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流伏安表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 1.示波器 在本书实验附录中已对常用的GOS-620型双踪示波器的原理和使用做了较详细的说明,先着重指出下列几点: 1)寻找扫描光迹点 在开机半分钟后,如还找不到光点,可调节亮度旋钮,并按下“寻迹”键,从中判断光点的位置,然后适当调节垂直(↑↓)和水平()移位旋钮,将光点移至荧光屏的中心位置。 2)为了显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。 a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确定。 b、“触发源的选择”开关(内、外)——通常选为内触发。 c、“内触发源的选择”开关(拉YB)——通常至于常态(推进位置)。此时对单一从 YA或YB输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB )位置,此时触发信号仅取自YB,故仅对YB输入的信号同

数电实验报告1.2-一位减法器、一位加法器

<熟悉QuartusII和Verilog HDL数字逻辑电路设计基础环境> 实验报告 学生姓名:李旭文超周 班级学号:11自动化1138033 1138019 指导老师:潘秀琴

<实验报告内容> 一、实验名称:学习QurtusII基本功能和使用方法,完成一位减法器、一位 加法器的原理图输入和文本输入、编译校验及功能仿真。 二、实验学时:4学时 三、实验目的:熟悉Quartus II基本功能和使用方法,掌握原理图输入、文本输入的步骤。 四、实验内容:完成一位加法器、一位减法器的设计输入并进行仿真输出。 五、实验原理:数字逻辑电路中各种门电路的功能和使用方法 六、实验步骤: 1.了解quartusII的基本功能使用; 2.设计输入:首先设计出逻辑电路,然后将所设计的数字逻辑电路以某种方式输入到计算机中,QuartusII有原理图输入和文本(代码)输入两种输入模式。3.设计编译校验:编译连接好的输入图形。 七、实验结果: 1.加法器:A.半加器 原理图: 文本:

波形图: B.一位全加器全加器: 原理图: 文本输入:

波形图: 2.减法器:原理图: 文本输入:

波形图: 八、心得体会:这是使用这个软件的第二次实验对于软件的使用已经比较熟练能够很快连接好电路进行试验 九、附录:<程序代码> 1.加法器: A.半加器 module adder(a,b,s,co); input a,b; output s,co; and X1(a,b); xor Y1(a,b); endmodule B.一位全加器 module onebit_fulladd(a,b,ci,sum,cout); input a,b,ci; output sum,cout; wire sum_temp,c_1,c_2,c_3; xor xor1(sum_temp,a,b);

数电实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

数电实验指导书(2016年14级)

实验一:门电路实验 一、实验目的: 熟悉、掌握门电路的逻辑功能 二、实验仪器和设备: 1、TPE-D6型数字电路学习机2、数字万用表 三、实验原理及主要知识点 1.与非门_____ AB F =(有0出1,全1出0) 2.与或非门___ __________CD AB F +=(画真值表自行总结) 3.或门B A F +=(有1出1,全0出0) 四、实验步骤 实验前的准备:在学习机上未接任何器件的情况下(指实验用插座部分),先合上交流电源,检查5V 电源是否正常,再合直流电源测V CC 处电压是否正常,测两排插口中间V CC 插口处电压是否正常,全正常后断开全部电源。 随后选择好实验用集成片,查清集成片的引腿及功能,然后根据实验图接线,特别注意V CC 及地的接线不能接错,待老师检查后方可接通电源进行实验,以后所有实验依此办理。 (一) 测与非门的逻辑功能 1、选双4输入正与非门74LS20集成芯片一只;选择一个组件插座(片子先不要插入)按图接好线。 2、输入端接电平开关输出插口,输出端接发光二极管显示插口。 3、拨动电平开关,按表中情况分别测出输出端电平。 (二)、测与异或门的逻辑功能 1、选两路四输入与或非门电路74LS55集成芯片一只;选择一个组件插座(片子先不要插入)按图接线。 4 双4输入正与非门74LS20

2、 (三)根据摩根定理或门的逻辑函数表达式B A Z +=,可以写成B A Z ?=,因此可以用三个与非门构成或门。 (1) 将由三个与非门构成的或门测试电路画在下面空白处。 (2) 当输入端(A 、B )为下列情况时,分别测输出端(Z )的电位,将结果填入表中。 五、实验思考题及实验报告要求 整理实验数据,并对数据进行分析,根据实验观察到的现象,回答下列问题。 1与非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与非门不用的输入端应如何处理? 2与或非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与或非门不用的与门应如何处理? 实验二 组合逻辑电路实验 一、实验目的 (一) 掌握组合逻辑电路的分析方法 (二) 验证半加器的逻辑功能 (三) 了解二进制数的运算规律 二、实验仪器及设备 (一) TPE-D6型数字电路学习机 (二)数字万用表 三、实验原理及主要知识点 组合逻辑电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或 4个二输入异或门74LS86

数电实验报告半加全加器

实验二 半加/减器与全加/减器 一、 实验目的: (1) 掌握全加器和半加器的逻辑功能。 (2) 熟悉集成加法器的使用方法。 (3) 了解算术运算电路的结构。 二、 实验设备: 1、 74LS00 (二输入端四与非门) 2、 74LS86 (二输入端四异或门) 3、 数字电路实验箱、导线若干。 Ver 4B 4A 4¥ 3B 3A 3Y 1A IB !Y 2A 2B 2Y GND (74LS86引脚图) 三、 实验原理: 两个二进制数相加,叫做半加,实现半加操作的电路,称为半加器。 A 表示 被加数,B 表示加数,S 表示半加和,Co 表示向高位的进位。 全加器能进行加数、被加数和低位来的信号相加,并给出该位的进位信号以 及和。 四、 实验内容: 用74LS00和74LS86实现半加器、全加器的逻辑电路功能。 (一)半加器、半减器 M=0寸实现半加,M=1时实现半减,真值表如下: (74LS00引脚 )

功能M A B S C 半加00000 00110 01010 01101 半减10000 10111 11010 11100 —s +/- ——co M (半加器图形符号) 2、 ⑴S真值表: 00011110 00110 11001 A ⑵C真值表: 00011110 00000 10101 C 二B(A二M)

(二)全加器、全减器 S CO C^BC i-1 ?(M 十 A )(B 十 C ) 、实验结果 半加器: S 二 AB AB = A 二 B C =B (A 二 M ) 全加器: S = A 二 B - C i-1 G 二GM C 2M CI B +/一

数电实验答案

一、TTL测试 1.主要参数有哪些?测试参数的意义何在? 2.怎样测量与非门输出的高低电平?高低电平的取值范围? 3.测量Iil或Iolm时电流档不能用,怎么办? 4.在扇出系数测试电路中电位器和220欧电阻有什么用?为什么要使Uo=0.4V,此系数计算结果若为23.9,取多少? 二、组合逻辑电路 1、组合逻辑电路与时序逻辑电路的区别有哪些/? 2、设计组合逻辑电路的步骤。 3、设计半加器、全加器、比较器、点灯控制等逻辑电路。 三、译码器 1、什么是译码器?本实验用的74LS38和CC4511有什么区别? 2、怎样用138和74LS20设计全加器?步骤? 3、怎么用138设计反码器? 4、描述数码管种类、结构? 5、设计编码到译码显示的电路显示2014。 四、选择器 1、介绍四选一和八选一选择器的逻辑功能。 2、怎样用选择器实现逻辑函数或功能电路? 3、设计全加器或三人表决器。 五、触发器 1、画出用与非门构成基本QS触发器电路图。 2、叙述J-K触发器功能,填功能表。 3、描述T,T’触发器,CP-SQ脉冲关系。 六、计数器 1、怎样用D触发器构成四位数的二进制异步加法器、?讲解其工作原理,注意哪些事项? 2、讲述用74LS192构成二位十进制计数器电路。 3、用192构成任意进制计数器,讲解原理。 七、抢答器 1.讲述抢答器工作原理 2.锁存电路怎样锁存,主持人怎样控制清零和宣布抢答开始? 3.此实验原理电路存在哪些缺点和不足,怎样改进? 4.抢答器灵敏度与哪些因素有关?怎样分析影响。 八、数电常识 1、TTL逻辑门引脚规则。 2、TTL电源的范围 3、怎样使用集成块 4、数字电路故障原因通常有哪些? 5、边沿怎样产生的?能否用逻辑开关产生? 6、脉冲信号与函数波信号的区别? 7、TTL逻辑门输入端悬空相当于什么电平? 8、怎样由与非门变非门? 9、本学期数字电路接触了哪些集成块? 10、TTL集成电路使用规则?

高电压技术实验指导书_学生用_

实验一.电介质绝缘特性及电击穿实验 一.实验目的: 观察气隙击穿、液体击穿以及固体沿面放电等现象及其特点,认识其发展过程及影响击穿电压的各主要因素,加深对有关放电理论的理解。 二.预习要点: 概念:绝缘;游离;电晕;电子崩;流注;先导放电;自持放电;滑闪放电;沿面放电;小桥;电击穿;热击穿。 判断:空气是绝缘介质;纯净液体的击穿是电击穿,非纯净液体的击穿是热击穿,绝缘油的击穿电压受油品、电压作用时间、电场分布情况及温度的影响较大,电弧会使油分解并产生炭粒;沿面放电是特殊的气体放电,分三个阶段,沿面闪络电压小于气隙击穿电压。 推理:变压器油怕受潮;油断路器有动作次数的限制; 相关知识点:电场、介质极化、偶极子、介电常数、Paschen定律、Townsend理论、流注理论、伏秒特性、大气过电压、内部过电压。 三.实验项目: 1.气体绝缘介质绝缘特性及电击穿实验 ⑴.电极形状对放电的影响 ①.球球间隙 ②.针板间隙 ③.针针间隙 ⑵.电场性质对放电的影响 ①.工频交流电场 ②.直流电场 ⑶.极性效应 ①.正针负板 ②.负针正板 2.液体绝缘介质绝缘特性及电击穿实验 ⑴.导电小桥的观察 ⑵.抗电强度的测试 3.固体绝缘介质绝缘特性及电击穿实验 ⑴.刷状放电的观察 ⑵.滑闪放电的观察 ⑶.沿面闪络的观察 四.实验说明: 1.气体绝缘特性: ⑴.气体在正常情况下绝缘性能良好(带电粒子很少); ⑵.气体质点获得足够的能量(大于其游离能)后,将会产生游离,生成正离子和电子; ⑶.气体质点获得能量的途径有:粒子撞击、光子激励、分子热碰撞; ⑷.气隙中除了有气体质点游离产生的带电粒子外,还存在金属电极表面的逸出电子; ⑸.气隙加上电场,气隙中的带电粒子将顺电场方向加速运动,造成大量的粒子碰撞,但产生气体质点游离的撞源粒子是电子;

数电实验内容

实验一组合逻辑电路的设计 一、实验目的 1、掌握组合逻辑电路的设计和测试方法。 2、掌握半加器、全加器的逻辑功能。 3、通过功能验证锻炼解决实际问题的能力。 二、实验主要仪器设备 1、万用表 2、集成芯片:74LS00、74LS08 三、实验原理 1、设计组合逻辑电路的一般步骤:设计要求→逻辑状态表→逻辑表达式→简化逻辑表 达式→逻辑图。 通常,设计组合逻辑电路按下述步骤进行。其流程图如。 (1)列真值表。设计的要求一般是用文字来描述的。设计者很难由文字描述的逻辑命题直接写出逻辑函数表达式。由于真值表在四种逻辑函数表示方法中,表示逻辑功能最为直观,故设计的第一步为列真值表。首先,对命题的因果关系进行分析,“因”为输入,“果”为输出,即“因”为逻辑变量,“果”为逻辑函数。其次,对逻辑变量赋值,即用逻辑0和逻辑1分别表示两种不同状态。最后,对命题的逻辑关系进行分析,确定有几个输入,几个输出,按逻辑关系列出真值表。 (2)由真值表写出逻辑函数表达式。 (3)对逻辑函数进行化简。若由真值表写出的逻辑函数表达式不最简,应利用公式法或卡诺图法进行逻辑函数化简,得出最简式。如果对所用器件有要求,还需将最简式转换成相应的形式。 (4)按最简式画出逻辑电路图。 图3.4.1 组合逻辑电路设计流程图 2、用74LS00和74LS86组成半加器电路。要求按设计要求步骤进行,直到测试电路逻 辑功能符合设计要求为止。 3、用74LS00和74LS86组成全加器电路。要求按设计要求步骤进行,直到测试电路逻 辑功能符合设计要求为止。 四、预习要求 1、复习组合逻辑电路的设计方法。 2、熟悉本实验所用各种集成电路的型号及引脚号。 3、根据实验内容所给定的设计命题要求,按设计步骤写出真值表、输出函数表达式并

数电实验答案

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25)

班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇 实验一门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL逻辑门电路的参数意义。 2.掌握各种TTL门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD—4数字电路实验箱,数字双踪示波器,函数信号发射器,74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平

用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下: 12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下:

1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下 12 3 74LS00AN 4 5 6 74LS00AN 910 8 74LS00AN c U1A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下:

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 X1 2.5 V A B C D U1A 74LS00N U2A U3A 74LS00N 逻辑指示灯:灯亮表示“1”,灯灭表示“0” ABCD按逻辑开关,“1”表示高电平,“0”表示低电平 自拟表格并记录: A B C D Y A B C D Y 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 1 1 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 0 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,

开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? A B C D ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

加法器实验报告

实验三加法器的设计与仿真 一、实验目的 熟悉quartus ⅱ仿真软件的基本操作,用逻辑图和vhdl语言设计加法器并验证。 二、实验内容 1、熟悉quartus ⅱ软件的基本操作,了解各种设计输入方法(原理图设计、文本设计、 波形设计) 2、用逻辑图和vhdl语言设计全加器并进行仿真验证; 3、用设计好的全加器组成串行加法器并进行仿真验证; 4、用逻辑图设计4位先行进位全加器并进行仿真验证; 三、实验原理 1. 全加器 全加器英文名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。 用途:实现一位全加操作逻辑图 真值表 第 1 页共 7 页 利用与或门设计的全加器,它只能做一位的加法,先预想好它的功能,写出真值表,就可以根据这些来设计电路了。 2.四位串行加法器 逻辑图 利用全加器的组合实现4位串行加法器,全加器只能对一位进行操作,将每一位的结果传给下一位,就可以实现4位的加法器。 3.74283:4位先行进位全加器(4-bit full adder) 利用74283芯片实现的4位先行进位全加器比前两者功能更完善,它可以实现进位功能,这个自己设计难度比较大,可以参照74283的功能表加深对它的理解, 第 2 页共 7 页 按照如下的逻辑图实现进位全加器。 逻辑框图 逻辑功能表 注:1、输入信号和输出信号采用两位对折列表,节省表格占用的空间,如:[a1/a3]对应的列取值相同,结果和值[σ1/σ3]对应的运算是σ1=a1+b1和σ3=a3+b3。请自行验证一下。 2、c2是低两位相加产生的半进位,c4是高两位相加后产生的进位输出,c0是低位级加法器向本级加法器的进位输入。 四、实验方法与步骤 实验方法: 第 3 页共 7 页 采用基于fpga进行数字逻辑电路设计的方法。 采用的软件工具是quartusii软件仿真平台,采用的硬件平台是altera epf10k20ti144_4的fpga试验箱。 实验步骤: ? 全加器 1、编写源代码。打开quartusⅱ软件平台,点击file中得new建立一个文件。编写的文件 名与实体名一致,点击file/save as以“.vhd”为扩展名存盘文件。vhdl设计源代码

相关文档
最新文档