用原码一位乘

用原码一位乘
用原码一位乘

第六章

20. 用原码一位乘、两位乘和补码一位乘(Booth 算法)、两位乘计算x ·y 。

(1)x= 0.110111,y= -0.101110;

(2)x= -0.010111,y= -0.010101;

(3)x= 19, y= 35;

(4)x= 0.110 11, y= -0.111 01 。

解:

(a) 原码一位乘:

(1)

[x]原=0.110111

[y]原=1.101110

x*=0.110111

y*=0.101110

符号位: 00X Y 011⊕=⊕=

数值部分的计算:

部分积 乘数y* ---------------------------------------------------------------------------------------------------------------------- 0.000000 101110 开始部分积为0

+ 0.000000 乘数为0,加上0

---------------------------------------------------------------------------------------------------------------------- 0.000000

0.000000 0 10111 部分积右移一位,乘数右移一位

+ 0.110111 乘数为1,加上X*

---------------------------------------------------------------------------------------------------------------------- 0.110111 0

0.011011 10 1011 部分积右移一位,乘数右移一位

+ 0.110111 乘数为1,加上X*

--------------------------------------------------------------------------------------------------------------------- 1.010010 10

0.101001 010 101 部分积右移一位,乘数右移一位

+ 0.110111 乘数为1,加上X*

---------------------------------------------------------------------------------------------------------------------

1.100000 010

0.110000 0010 10

+ 0.000000

-------------------------------------------------------------------------------------------------------------------- 0.110000 0010

0.011000 00010 1 部分积右移一位,乘数右移一位

+ 0.110111 乘数为1,加上X*

--------------------------------------------------------------------------------------------------------------------- 1.001111 00010 右移一位

0.100111 100010

[x*y]原=1.100111100010

(2)

[x]原=1.010111

[y]原=1.010101

x*=0.010111

y*=0.010101

符号位: 00X Y 110⊕=⊕=

数值部分的计算:

部分积 乘数y*

0.000000 010101 开始部分积为0

+ 0.010111 乘数为1,加上X*

0.010111

0.001011 1 01010 部分积右移一位,乘数右移一位

+ 0.000000 乘数为0,加上0

0.001011 1

0.000101 11 0101 部分积右移一位,乘数右移一位

+ 0.010111 乘数为1,加上X*

0.011100 11

0.001110 011 010 部分积右移一位,乘数右移一位

+ 0.000000 乘数为0,加上0

0.001110 011

0.000111 0011 01 部分积右移一位,乘数右移一位

+ 0.010111 乘数为1,加上X*

0.011110 0011

0.001111 00011 0 部分积右移一位,乘数右移一位

+ 0.000000

0.001111 00011

0.000111 100011

故,x ·y=0.000111100011

(3)[x]原=0,0001 0011

[y]原=0,0010 0011

x*=0001 0011

y*=0010 0011

符号位: 00X Y 000⊕=⊕=

数值部分的计算:

部分积 乘数y*

00000000 00100011 开始部分积为0

+ 00010011 乘数为1,加上X* 00010011

00001001 1 0010001 部分积右移一位,乘数右移一位

+ 00010011

00011100 1

00001110 01 001000 部分积右移一位,乘数右移一位 + 00000000

00001110 01

00000111 001 00100 部分积右移一位,乘数右移一位

00000011 1001 0010

00000001 11001 001

+ 00010011

00000101 0011001

即 0,0000 0010 1001 1001

(4)x=0.11011,y=-0.11101

[x]原=0.11011

[y]原=1.11101

x*=0.11011

y*=0.11101

符号位: 00X Y 011⊕=⊕=

数值部分的计算:

部分积 乘数y*

0.00000 11101 开始部分积为0

+ 0 .11011 乘数为1,加上X*

0.11011

0.01101 1 1110 部分积右移一位,乘数右移一位

0.00110 11 111 部分积右移一位,乘数右移一位

+ 0.11011

1.00001 11

0.10000 111 11 部分积右移一位,乘数右移一位

+ 0.11011 乘数为1,加上X*

1.01011 111

0.10101 1111 1 部分积右移一位,乘数右移一位

+ 0.11011 乘数为1,加上X*

1.10000 1111

0.11000 01111

加上符号位得

1.1100001111

(b) 补码一位乘(Booth)

当乘数y 为正数时,不管被乘数x 符号如何,都可按原码乘法的规则运算,但加和移位都必须按补码规则运算

当乘数y 为负数时,把乘数的补码[y]补去掉符号位,当成一个正数与[x]补相乘,然后加上[-x]补进行校正。

Booth 算法的部分积取双符号位,乘数因符号位参加运算,故多取一位。

Booth 算法对乘数从低位开始判断,根据两个数据位的情况决定进行加法、减法还是仅仅移位操作。判断的两个数据位为当前位及其右边的位(初始时需要增加一个辅助位0),移位操作是向右移动。

补码一位乘法则(教材P254)

(1)

[x]补=0.110111

[y]补=1.010010

部分积 乘数y[n]

辅助位y[n+1] 00.000000 1010010

0 初始0,1i i y y +=00,右移一位 00.000000 0 101001

0 1i i y y +=10,加[-x]补,右移一位 - 00.110111

-----------------------------------

11.001001 0

11.100100 10 10100

1 1i i y y +=01,加[x]补,右移一位 + 00.110111

-----------------------------------

00.011011 10

00.001101 110 1010

0 1i i y y +=00,右移一位 00.000110 1110 101

0 1i i y y +=10,加[-x]补,右移一位 - 00.110111

-----------------------------------

11.001111 1110

11.100111 11110 10

1 1i i y y +=01,加[x]补,右移一位 + 00.110111

-----------------------------------

00.011110 11110

00.001111 011110 1

0 1i i y y +=10,加[-x]补,右移一位

- 00.110111

-----------------------------------

11.011000 011110

[x ·y]补=1.011000011110

则 X ·Y=1.1001 1110 0010

(2)[x]补=1.101001

[y]补=1.101011

部分积 乘数y[n]

00.000000 1101011 0 辅助位y[n+1] 1i i y y +=10,加[-x]补,右移一位 - 11.101001

00.010111

00.001011 1 110101 1 辅助位y[n+1] 1i i y y +=11,右移一位 00.000101 11 11010 1 辅助位y[n+1] 1i i y y +=01,加[x]补,右移一位 + 11.101001

11.101110 11

11.110111 011 1101 0 - 11.101001

00.001110 011

00.000111 0011 110 1 + 11.101001

11.110000 0011

11.111000 00011 11 0 - 11.101001

00.001111 00011

00.000111 100011 1 1

00.000111 100011

故,X ·Y=0.000111100011

(3)[x]补=00010011=00010011

[y]补=00100011=00100011

部分积 乘数y[n] 辅助位y[n+1] 00,00000000 00100011 0 -00,00010011

11,11101101

11,11110110 1 0010001 1 11,11111011 01 001000 1 + 00,00010011

00,00001110 01

00,00000111 001 00100 0

00,00000011 1001 0010 0

00,00000001 11001 001 0

-00,00010011

11, 11101110 11001

四位原码乘法器

1.课程设计的内容和要求 内容:设计四位原码乘法器电路。 要求:1.有关资料,设计乘法器电路; 2.画出乘法器逻辑图; 3.在实验箱上完成乘法器电路的组装,调试,核对记录,测试有关数据, 通过老师当场验收; 4.完成课程设计报告。 1.课程设计原理 运用存储器的存储功能实现数字的存储。令电路的初始状态为000,000,000000。以二进制的形式输入数字,计算方式是以十进制数字乘法。输入的数字为三位数字,输出的是六位数字。先存储输入的乘数和乘积,然后再将乘积的导线端连到输出段,此时之前输入的乘积就可以在输出端显示。 此时序电路的真值表为:

1.课程设计思路 本次课程设计的题目为四位原码乘法器,利用真值表输入乘数时,需要存放数字,于是我查阅了一些资料,用存储器可以实现这一电路,所以本实验中用到的是INTEL 2114芯片。 具体实现过程如下图: a a b b F 32F 1 1.课程设计所需的器材 1.2114是一个容量为1K4位的静态RAM芯片,常用于寄存器。 其具体的引脚图为: 此芯片的电路图为: 2.数字电路实验箱 3.导线若干 1.课程设计实现 本次课程设计的题目是四位原码乘法器电路。 此部分只用到了2块INTEL2114芯片,具体连接如下: 1、先将这些芯片按在电路板上(注意不要插反,否者容易烧毁芯片)。 2、将两片芯片的A6和GND端,A7,A8,A9接地。 3、Vcc端接电压5V,cs接存储端,WE端接控制端。 4、两块芯片的A5,A4,A3组成一个乘数,A0,A1,A2组成另一个乘数。其中一块芯

片的I/O1,I/O2,I/O3,I/O4和另一块芯片的I/O1,I/O2组成要求的乘积。乘数与乘积的显示方式均为二进制,但是计算方法是以十进制数的乘法法则计算。 1.调试步骤及方法 在连接实验器件之前,要先检查如下实验器件: 1、检查芯片引脚是否有损坏。 2、检查电路板是否好用。 连接实验器件时要注意: 2严格按照电路图一步一步连接,以避免连接错误。 3导线要先连接电源测试是否导电。 连接好电路进行数据测试,输入001,010,000010,存储;001,101,000101,存储;001,111,000111,存储。将连在输入端的四个输出连接到输出端,并输入001,010,但是结果并不是000010,而是000100;再输入001,101,也没有得到000101的结果,而是000110的结果。检查线路,发现输出的线路错位,纠正后重新输入乘数,结果均得到计算结果。调试成功。 1.实验结果 连接好整个电路。A5A4A3和A2A1A0为输入端,即乘数,F5F4F3F2F1F0为输出端,即乘积。如下表: 8. 课程设计结果 输入000,000,000000,存储;

原码一位乘法

实验课程: 计算机组成原理实验时间: 班级:姓名:学号批阅教师: 硬布线实现原码一位乘法 实验内容: 在实验箱上用硬布线方法实现原码一位乘法 实验设备: CP226组成原理实验箱 实验设备介绍: CP226 模型机包括了一个标准CPU 所具备所有部件,这些部件包括:运算器ALU、累加器A、工作寄存器W、左移门L、直通门D、右移门R、寄存器组R0-R3、程序计数器PC、地址寄存器MAR、堆栈寄存器ST、中断向量寄存器IA、输入端口IN、输出端口寄存器OUT、程序存储器EM、指令寄存器IR、微程序计数器uPC、微程序存储器uM, 以及中断控制电路、跳转控制电路。其中运算器和中断控制电路以及跳转控制电路用CPLD 来实现,其它电路都是用离散的数字电路组成。微程序控制部分也可以用组合逻辑控制来代替。 模型机为8 位机,数据总线、地址总线都为8位,但其工作原理与16位机相同。模型机的指令码为8 位,根据指令类型的不同,可以有0 到 2 个操作数。指令码的最低两位用来选择R0-R3 寄存器,在微程序控制方式中,用指令码做为微地址来寻址微程序存储器,找到执行该指令的微程序。而在组合逻辑控制方式中,按时序用指令码产生相应的控制位。在本模型机中,一条指令最多分四个状态周期,一个状态周期为一个时钟脉冲,每个状态周期产生不同的控制逻辑,实现模型机的各种功能。模型机有24 位控制位以控制寄存器的输入、输出,选择运算器的运算功能,存储器的读写。24 位控制位分别介绍如下: XRD :外部设备读信号,当给出了外设的地址后,输出此信号,从指定外设读数据。EMWR:程序存储器EM 写信号。 EMRD:程序存储器EM 读信号。 PCOE:将程序计数器PC 的值送到地址总线ABUS 上。 EMEN:将程序存储器EM 与数据总线DBUS 接通,由EMWR和EMRD决定是将DBUS 数据写到EM 中,还是从EM 读出数据送到DBUS。 IREN:将程序存储器EM 读出的数据打入指令寄存器IR 和微指令计数器uPC。 EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。 ELP:PC 打入允许,与指令寄存器的IR3、IR2位结合,控制程序跳转。 MAREN:将数据总线DBUS 上数据打入地址寄存器MAR。 MAROE:将地址寄存器MAR 的值送到地址总线ABUS 上。 OUTEN:将数据总线DBUS 上数据送到输出端口寄存器OUT 里。 STEN:将数据总线DBUS 上数据存入堆栈寄存器ST 中。RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 CN:决定运算器是否带进位移位,CN=1 带进位,CN=0 不带进位。 FEN:将标志位存入ALU内部的标志寄存器。 X2、X1、X0 三位组合来译码选择将数据送到DBUS 上的寄存器。

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

定点补码一位乘法器方案

个人资料整理仅限学习使用 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点补码一位乘法器的设计 院<系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师: 完成日期:2018年1月15日

目录第1章总体设计方案1 1.1设计原理1 1.2设计思路2 1.3设计环境4 第2章详细设计方案5 2.1顶层方案图的设计与实现5 2.1.1创建顶层图形设计文件5 2.1.2器件的选择与引脚锁定6 2.2功能模块的设计与实现7 2.2.1求补电路模块的设计与实现7 2.2.2 控制电路模块的设计与实现8 2.2.3选择器模块的设计与实现10 第3章编程下载与硬件测试12 3.1编程下载12 3.2硬件测试及结果分析12 参考文献14 附录<电路原理图)15

第1章总体设计方案 1.1设计原理 <1)用[X]补×[Y]补直接求[X×Y]补 讨论当相乘的两个数中有一个或二个为负数的情况,在讨论补码乘法运算时,对被乘数或部分积的处理上与原码乘法有某些类似,差别仅表现在被乘数和部分积的符号位要和数值一起参加运算。 若[Y]补=Y0Y1Y2…Yn 当Y0为1时,则有Y=-1+Yi×2-i 故有X×Y=X×Yi×2-1-X当Y为负值时,用补码乘计算[X×Y]补,是用[X]补乘上[Y]补的数值位,而不理[Y]补符号位上的1,乘完之后,在所得的乘积中再减X,即加-[X]补。实现补码乘法的另一个方案是比较法,是由BOOTH最早提出的,这一方法的出发点是避免区分乘数符号的正负,而且让乘数符号位也参加运算。技巧上表现在分解乘数的每一位上的1为高一位的一个+1和本位上的一个-1:X×Y=X×<-1+Yi×2i)<逐项展开则得)=X×[-Y0+Y1×2-1+Y2×2-2+…+Yn×2-n]=X×[-Y0+(Y1-Y1×2-1>+(Y2×2-1-Y2×2-2>+…+(Yn×2-(n-1>-Yn×2-n>]<合并相同幂次项得)=X×[(Y1-Y0>+(Y2-Y1> ×2-1+…+(Yn-Yn-1> ×2-(n-1>+(0-Yn> ×2-n]=X× ×X]补 P2=[2-1(P1+(Yn-Yn-1> ×X>]补 … Pi=[2-1(Pn-i+(Yn-I+2-Yn-I+1> ×X>]补 … Pn=[2-1(Pn-1+(Y2-Y1> ×X>]补 Pn+1=[ (Pn+(Y1-Y0> ×X>]补 则最终补码乘积为[X*Y]补=[Pn+1]补

定点原码一位乘法器的设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计课程设计题目:定点原码一位乘法器的设计 院(系): 专业: 班级: 学号: 姓名: 指导教师: 完成日期:

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (1) 1.1设计原理 (1) 1.2设计思路 (2) 1.3设计环境 (3) 第2章详细设计方案 (5) 2.1顶层方案图的设计与实现 (5) 2.1.1创建顶层图形设计文件 (5) 2.2功能模块的设计与实现 (6) 2.2.1 乘数寄存器模块的设计与实现 (6) 2.2.2 部分积寄存器模块的设计与实现 (8) 2.2.3 被乘数寄存器模块的设计与实现 (10) 2.2.4 控制器器模块的设计与实现 (12) 2.2.5 加法器模块的设计与实现 (15) 2.3仿真调试 (17) 第3章编程下载与硬件测试 (18) 3.1编程下载 (18) 参考文献 (19) 附录(电路原理图) (20)

第1章总体设计方案 1.1 设计原理 原码一位乘,即两个原码数相乘,其乘积的符号为相乘两数符号的异或值,数值则为两数绝对值之积。例如: X=0.1010,Y=—0.1101,求X·Y数值的过程如下: 取双符号位,被乘数X=00 1010,乘数部分|Y|=00 1101 部分积乘数 00 0 0 0 0 1 1 0 1 +X 00 1 0 1 0 00 1 0 1 0 右移一位00 0 1 0 1 0 1 1 0 1(丢失) +0 00 0 0 0 0 000 1 0 1 右移一位00 0 0 1 0 1 0 1 1 0(丢失) +X 00 1 0 1 0 00 1 1 0 0 右移一位00 0 1 1 0 0 1 0 1 1(丢失) +x 00 1 0 1 0 010 0 0 0 右移一位00 1 0 0 0 0 0 1 0 1(丢失) 结果:X·Y=1.10000010 由于在计算机内多个数据一般不能同时相加,一次加法操作只能求出两数之和,因此每求得一个相加数,就与上次部分积相加每次计算时,相加数逐次向左偏移一位,由于最后的乘积位数是乘数(被乘数)的两倍,因此加法器也需增到两倍。部分积右移时,乘数寄存器同时右移一位,所以用乘数寄存器的最低位来控制相加数取被乘数或零,同时乘数寄存器接收部分积右移出来的一位,完成运算后,部分积寄存器保存乘积的高位部分,乘数寄存器中保存乘积的低位部分。 根据人工算法可以知道,原码一位乘法的整体设计应包括乘数寄存器,被乘数寄存器,移位电路,控制器,部分积五大模块,包含一个输入、输出、控制器

定点补码一位乘法器的设计与实现

课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点补码一位乘法器的设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师: 完成日期:2012年1月13日

目录 第1章总体设计方案 (1) 1.1设计原理 (1) 1.2设计思路 (1) 1.3设计环境 (2) 第2章详细设计方案 (3) 2.1顶层方案图的设计与实现 (3) 2.1.1创建顶层图形设计文件 (3) 2.1.2器件的选择与引脚锁定 (4) 2.1.3编译、综合、适配 (5) 2.2功能模块的设计与实现 (5) 2.2.1 取补模块的设计与实现 (5) 2.2.2选择器模块的设计与实现 (7) 2.2.3 乘数补码移位寄存器模块的设计与实现 (11) 2.2.4 部分积移位寄存器模块的设计与实现 (13) 2.3仿真调试 (14) 第3章编程下载与硬件测试 (16) 参考文献 (17) 附录(电路原理图) (18)

第1章总体设计方案 1.1 设计原理 在计算两个补码相乘时,可以通过Booth算法来实现定点补码一位乘的功能。布斯(Booth)算法采用相加和相减的操作计算补码数据的乘积,Booth算法对乘数从低位开始判断,根据后两个数据位的情况决定进行加法、减法还是仅仅进行移位操作。讨论当相乘的两个数中有一个或二个为负数的情况,在讨论补码乘法运算时,对被乘数或部分积的处理上与原码乘法有某些类似,差别仅表现在被乘数和部分积的符号位要和数值一起参加运算。 Booth乘法规则如下: 假设X、Y都是用补码形式表示的机器数,[X]补和[Y]补=Ys.Y1Y2…Yn,都是任意符号表示的数。比较法求新的部分积,取决于两个比较位的数位,即Yi+1Yi 的状态。 首先设置附加位Yn+1=0,部分积初值[Z0]补=0。 当n≠0时,判断YnYn+1, 若YnYn+1=00或11,即相邻位相同时,上次部分积右移一位,直接得部分积。若YnYn+1=01,上次部分积加[X]补,然后右移一位得新部分积。 若YnYn+1=10,上次部分积加[-X]补,然后右移一位得新部分积。 当n=0时,判YnYn+1(对应于Y0Y1),运算规则同(1)只是不移位。即在运算的最后一步,乘积不再右移。 1.2 设计思路 首先要采用原码值输入,乘数和被乘数皆为8位。而且根据补码一位乘法运算规则:(1) 如果yn = yn+1,部分积[ zi ] 加0,再右移一位;(2) 如果yn yn+1 = 01,部分积加[ x ]补,再右移一位;(3) 如果yn yn+1 = 10,部分积加[ - x]补,再右移一位;这样重复进行n+1 步,但最后一步不移位。包括一位符号位,所得乘积为2n+1 位,其中n 为尾数位数。 设计一个二输入三选一选择器对可能的三种情况进行选择。当选择器中输入

定点原码一位乘法器讲课教案

定点原码一位乘法器

沈阳航空工业学院 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点原码一位乘法器的设计 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师: 完成日期:

目录 第1章总体设计方案 (1) 1.1设计原理 (1) 1.2设计环境 (2) 第2章详细设计方案 (4) 2.1顶层方案图的设计与实现 (4) 2.1.1创建顶层图形设计文件 (4) 2.1.2器件的选择与引脚锁定 (5) 2.2第二层模块的设计与实现 (7) 2.3功能模块的设计与实现 (7) 2.3.1移位模块的设计与实现 (7) 2.3.2 乘数移位模块的设计与实现 (10) 2.3.3选择模块的设计与实现 (12) 2.3.4 控制模块的设计与实现 (13) 2.3.5 其他模块的设计与实现 (15) 2.4仿真调试 (16) 第3章编程下载与硬件测试 (19) 3.1编程下载 (19) 3.2硬件测试及结果分析 (19) 参考文献 (20) 附录(电路原理图) (21)

第1章总体设计方案 1.1 设计原理 原码一位乘,两个原码数相乘,其乘积的符号为相乘两数符号的异或值,数值则为两数绝对值之积。 例:X=0.1100,Y=0.1110,计算乘积X*Y。 0.1100 * 0.1110 0000 1100 1100 1100 0.10101000 在计算时,逐次按乘数每1位上的值是1还是0,决定相加数取被乘数的值还是取零值,而且相加数逐次向左偏移1位,最后一起求积。 由于在计算机内多个数据一般不能同时相加,一次加法操作只能求出两数之和,因此每求得一个相加数,就与上次部分积相加每次计算时,相加数逐次向左偏移一位,由于最后的乘积位数是乘数(被乘数)的两倍,因此加法器也需增到两倍。部分积右移时,乘数寄存器同时右移一位,所以用乘数寄存器的最低位来控制相加数取被乘数或零,同时乘数寄存器接收部分积右移出来的一位,完成运算后,部分积寄存器保存乘积的高位部分,乘数寄存器中保存乘积的低位部分。

补码一位乘法之较正法的公式推导

在定点乘法运算中,补码乘法分为补码一位乘法和补码两位乘法。而补码一位乘法又分为较正法和比较法(Booth算法)两种。其中,较正法是比较法的基础。因此,掌握较正法是学习补码一位乘法的关键。下面,我们就对较正法进行深入分析。 一、较正法公式 [XY]补= [X]补*(0.Y1,Y2, … ,Y n) + [-X]补*Y0 其中,X、Y是两个定点数的真值,[Y]补=Y0.Y1,Y2, … ,Y n,Y0是符号位。 为了推导出此公式,我们分情况来进一步分析。 1、Y=0 在这种情况下,[Y]补=Y=0.0,0, … ,0=0。 [XY]补=0 =[X]补*(0.0,0, … ,0)+[-X]补*0 =[X]补*(0.Y1,Y2, … ,Y n)+[-X]补*Y0 2、X>=0, Y>0 在这种情况下,[X]补=X,[Y]补=Y,且Y0=0。不难看出, [XY]补=XY =[X]补*Y =[X]补*(Y0.Y1,Y2, … ,Y n)+[-X]补*0 =[X]补*(0.Y1,Y2, … ,Y n)+[-X]补*Y0 到此为止,我们还有两种情况尚未讨论,一种情况是X<0, Y>0,一种情况是Y<0。前一种情况是本文讨论的重点。与很多教材上的推导方法不同,本文采用与原码一位乘法相对照来证明此种情况。此方法用到的知识点有原码一位乘法和补码移位规则。首先,我们先来回顾一下这两个知识点。 二、原码一位乘法 原码一位乘法基本上是从手算法则演变过来的。我们知道,两个数相乘的手算法则是“绝对值相乘;同号得正,异号得负”。原码一位乘法也采用这种方法。 设[X]原=X s.X1,X2, … ,X n [Y]原=Y s.Y1,Y2, … ,Y n 因为[X]原=X,[Y]原=Y,[XY]原=XY 所以[XY]原=[X]原*[Y]原

(最新版)16位定点数原码一位乘法器的设计与实现课程设计报告

计算机科学与工程学院 课程设计报告 题目全称: 16位定点数原码一位乘法器的设计与实现 课程名称:计算机组成原理 指导老师:谭浩职称: (注:学生姓名填写按学生对该课程设计的贡献及工作量由高到底排列,分数按排名依次递减。序号排位为“1”的学生成绩最高,排位为“10”的学生成绩最低。) 指导老师评语:

签字:

摘要 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。本实验用Verilog HDL语言设计了全加器实现的组合乘法器,通过功能仿真,验证了结果。 关键词:乘法器,Verilog,组合逻辑,全加器

ABSTRACT Text…. Keywords:

目录 (自动插入目录) 第一章绪论 (1) 1.1 选题背景及意义 (1) 1.2 国内外研究现状 (1) 1.3 主要内容与章节安排 (1) 1.4 本章小结 (1) 第二章课程设计的需求分析 (3) 2.1 环境需求 (3) 2.2 功能需求 (3) 2.3 性能需求 (3) 2.3 本章小结 (3) 第三章 ****的设计 (5) 3.1 总体设计 (5) 3.2 功能模块设计 (5) 3.3 本章小结 (5) 第四章 ****的实现 (7) 4.1 开发环境介绍 (7) 4.2 主要功能模块的实现 (7) 4.3 本章小结 (7) 第五章测试及成果展示 (9) 5.1 测试环境 (9) 5.2 测试用例和结果 (9) 5.3 成果展示 (9) 5.4 本章小结 (9) 第六章总结与展望 (11) 参考文献 (12)

定点原码一位乘法器的设计 (3)

沈阳航空工业学院 课程设计报告 课程设计名称:计算机组成原理课程设计课程设计题目:定点原码一位乘法器的设计 院(系):计算机学院 专业:计算机科学与技术 班级:4401102 学号:200403011034 姓名:蔡丽娇 指导教师:刘泽显 完成日期:2006年12月31日

沈阳航空工业学院课程设计报告 目录 第1章总体设计方案 (1) 1.1 设计原理 (1) 1.2 设计思路 (1) 1.3 设计环境 (2) 第二章详细设计方案 (3) 2.1顶层方案图的设计与实现 (3) 2.1.1创建顶层图形设计文件 (3) 2.1.2器件的选择与引脚锁定 (3) 2.2 功能模块的设计与实现 (5) 2.2.1 8位移位电路 (5) 2.2.2 部分积寄存器 (7) 2.2.3 乘数寄存器 (7) 2.2.4 二路选择器 (8) 2.2.5 计数器 (9) 2.2.6 结果输出器 (11) 2.3 仿真调试 (13) 第3章编程下载与硬件测试 (14) 3.1 编程下载 (14) 3.2 硬件测试及结果分析 (14) 参考文献 (15) 附录(电路原理图) (16)

第1章总体设计方案 1.1 设计原理 定点原码一位乘法器的设计主要是基于原码一位乘法的计算过成。设计内容主要是实现输入被乘数和乘数经电路得出结果。设计思想是:以乘数的最低位作为乘法判断位,若判断位为1,则在前次部分积(初始部分积为0)上加上被乘数,然后连同乘数一起右移一位;若判断位为0,则在前次部分积上加0,然后连同乘数一起右移一位。重复此判断过程,直到运算n次为止(n为乘数数值部分的长度)。 1.2 设计思路 原码一位乘法器主要包括ALU﹑部分积寄存器﹑乘数移位寄存器﹑被乘数寄存器和移位电路五大部分。这五大部分就作为底层设计,其中乘数移位寄存器需要保留移出的最低位,它的最高位要接收部分积移出的最低位这两部分采用V erilog语言进行设计,顶层的乘法器采用原理图设计输入方式。 原码一位乘的数值运算中不需要考虑符号位的情况,符号位于数值位分开处理。运算结果的符号是乘数和被乘数符号位的异或,即z=x⊕y。一位乘法运算将乘法分解成一系列加法操作,每次判断乘数中的最低位决定将被乘数或零放到加法器的一个输入端口,将部分积送入另一个输入端口。计算的结果送入移位寄存器再将其高七位送入部分积寄存器,低一位送入乘数移位寄存器。这样就完成了一次移位和加法操作。要实现8位*8位乘法运算需要进行8次移位和加法操作。8次移位完后给各元件清零,此工作由计数器控制。如图1.2所示。 完成上诉电路设计后实现原码一位乘法器的功能后,经编译、调试后形成*.bit 文件并下载到XCV200可编程逻辑芯片中,经硬件测试验证设计的正确性。

计算机组成原理.各章例题

第一章计算机系统概论 例1,冯·诺依曼机工作的基本方式的特点是什么? 解:冯·诺依曼机工作的基本方式的特点是:按地址访问并顺序执行指令。 冯·诺依曼机工作原理为: 例2,Cache是一种A. ______存储器,是为了解决CPU和主存之间B. ______不匹配而采用 的一项重要硬件技术。现发展为多级cache体系,C. ______分设体系。 解:A. 高速缓冲 B. 速度 C. 指令cache与数据cache 例3,完整的计算机应包括那些部分? 解:完整的计算机应包括配套的硬件设备和软件系统。 例4,计算机系统的层次结构是怎样的? 解:计算机系统的层次结构如图:

第二章 运算方法和运算器 例 1.设机器字长32位,定点表示,尾数31位,数符1位,问: (1)定点原码整数表示时,最大正数是多少?最大负数是多少? (2)定点原码小数表示时,最大正数是多少?最大负数是多少? 解:(1 最大正数: 数值 = (231 – 1)10 最大负数: 数值 = -(231 – 1)10 (2)定点原码小数表示: 最大正数值 = (1 – 231 )10 最大负数值 = -(1–231 )10 例2.已知 x = - 0.01111 ,y = +0.11001, 求 [ x ]补 ,[ -x ]补 ,[ y ]补 ,[ -y ] 补 ,x + y = ? ,x – y = ? 解:[ x ]原 = 1.01111 [ x ]补 = 1.10001 所以 :[ -x ]补 = 0.01111 [ y ]原 = 0.11001 [ y ]补 = 0.11001 所以 :[ -y ]补 = 1.00111 [ x ]补 11.10001 [ x ]补 11.10001 + [ y ]补 00.11001 + [ -y ]补 11.00111 [ x + y ]补 00.01010 [ x - y ]补 10.11000 所以: x + y = +0.01010 因为符号位相异,结果发生溢出 例3.设有两个浮点数 N 1 = 2j1 × S 1 , N 2 = 2j2 × S 2 ,其中阶码2位,阶符1位,尾数四位,数符一位。设 :j 1 = (-10 )2 ,S 1 = ( +0.1001)2 j 2 = (+10 )2 ,S 2 = ( +0.1011)2 求:N 1 ×N 2 ,写出运算步骤及结果,积的尾数占4位,要规格化结果,用原码阵列乘法器求尾数之积。 (1)解: 浮点乘法规则: N 1 ×N 2 =( 2j1 ×S 1)× (2j2 × S 2) = 2(j1+j2) ×(S 1×S 2) (2)阶码求和: j 1 + j 2 = 0 (3) 尾数相乘: 被乘数S 1 =0.1001,令乘数S 2 = 0.1011,尾数绝对值相乘得积的绝对值,积的符号位 = 0⊕0 = 0。按无符号阵乘法器运算得:N 1 ×N 2 = 20×0.01100011 (4)尾数规格化、舍入(尾数四位) N 1 ×N 2 = (+ 0.01100011)2 = (+0.1100)2×2(-01)2 例4.由S ,E ,M 三个域组成的一个32位二进制字所表示的非零规格化浮点数

8位乘法器毕业设计论文

本科生毕业论文(设计) 8位乘法器的设计 姓名:吴小东 指导教师:华婷婷 院系:信息工程学院 专业:计算机科学与技术 提交日期: 2010/4/30

目录 中文摘要 (2) 外文摘要 (3) 1.绪论 (4) 1.1概述 (4) 1.2 VHDL和MAX+PIUS简介 (5) 1.3 实验平台 (6) 2.乘法器初步设计 (7) 2.1 设计思想 (7) 2.2乘法器原理 (7) 2.3乘法器设计流程 (8) 3. 乘法器具体设计 (9) 3.1右移寄存器的设计 (9) 3.2 加法器模块的设计 (10) 3.2.1 4位加法器的设计 (10) 3.2.2 8位加法器的设计 (11) 3.3 乘1模块设计 (13) 3.4锁存器模块设计 (14) 4. 乘法器仿真 (17) 4.1 8位加法器仿真 (17) 4.2 乘1模块仿真 (17) 4.3 锁存器模块仿真 (18) 4.4 8位乘法器仿真 (18) 结束语 (19) 参考文献 (20) 致谢 (21)

8位乘法器的设计 吴小东 指导老师:华婷婷 (黄山学院信息工程学院,黄山,安徽 245041) 摘要:在微处理器芯片中,乘法器是进行数字信号处理的核心,同时也是微处理器中进行数据处理的关键部件,它已经是现代计算机必不可少的一部分。本文主要是在于如何运用标准硬件描述语言(VHDL)完成八位乘法器,以及如何做二进制位相乘的运算过程。该乘法器是由八位加法器构成的以时序方式设计八位乘法器,通过逐项移位相加来实现乘法功能,并以MAX+Plus II 软件工具进行模拟,仿真并予以显示。 关键字:乘法器;标准硬件描述语言(VHDL);移位相加;MAX+Plu s II

原码一位乘法

计算机组成原理实验 实验名称:原码一位乘法 实验方式: 1.硬件连线,在实验箱上实现。 2.设计乘法指令,采用微程序实现。 实验项目: 原码一位乘法 实现方法:硬件连线,在实验箱上实现。 实验目的: 能在实验箱上实现或是在软件环境中模拟实现原码一位乘法,并理解乘法实现的思想。 实验工具或设备: CP226计算机组成原理实验仪 基本思想: 原码一位乘法实现原理: 由于原码表示与真值极为相似,只差一个符号,而乘积的符号又可通过两数符号的逻辑异或求得,因此,运算结果可以直接用于原码一位乘,只需加上符号位处理即可。

在实际的实验箱中8位被乘数放在R2中,运算开始时8位乘数放在R1中,运算结束时16位乘积的高位放在R0中,低位放在R1中,R0和R1串联移位。完成这个定点原码一位乘法的运算规则可以用如下图所示的逻辑流程图表示。 在该乘法过程中,每次操作是根据乘数的一位进行操作,对于8位数的乘法,需要循环8次完成一个乘法操作,因此称为一位乘法。 下面用原码的乘法方法进行13(1101)×11(1011)的四位乘法演示。 1、在乘法开始之前,R0和R1中的初始值为0000和1011,R2中的值为1101。 2、在乘法的第一个循环中,判断R1的最低位为1,所以进入步骤1a,将R0的值0000加上R2的值1101,结果1101送人R0。 3、判断R0的末位是否为1。如果为1,将R0和R1均右移一位,并将R1的右移结果加上1000;如果不为1,R0和R1直接右移一位(目的是将高位寄存器R0中移出的数据存放在低位寄存器R1,以实现R0 和R1的串联使用)。 其结果为(0110,1101)。第一次循环过程结束。 第二次循环过程中,判断R1的最低位仍为1,进入步骤la,R0的值0110 加1101,结果为10011。判断R0的末位为1,将R0、R1均右移,并让R1的右移结果加上1000。结果为(1001,1110)。 第三次循环中,因R1的最低位为0,进入步骤lb,R0加上0000为1001,

有符号5位整数乘法器设计与制作

哈尔滨工业大学(威海) 信息科学与工程学院 EDA课程设计报告 有符号5位整数乘法器设计与制作 指导老师:胡屏 学生班级:0802102 学生姓名:傅愉 学生学号:080210210 2009年11月10日

目录 1.课程设计的性质、目的和任务 (1) 2.题目要求 (1) 3.总体设计 (1) 3.1算法设计 (1) 3.2整体框图及原理 (2) 4.电路设计 (4) 4.1 乘法器总体电路原理图: (4) 4.2分时输入模块电路图: ........................................................... - 5 - 4.3乘法运算电路图: (6) 4.4阀门控制模块电路: ............................................................... - 9 - 4.5计数单元电路图: ................................................................. - 12 - 4.6数码管显示单元电路: ......................................................... - 14 - 4.7报警电路示意: ......................................... 错误!未定义书签。 5.调试过程中出现的问题以及解决办法 .......................................... - 19 - 6.心得体会........................................................................................... - 20 - 7.建议:............................................................................................... - 21 - 1.课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由

-1位原码乘法电路

评分:_________ 计算机组成原理设计研讨报告 第一组 组员: 刘金超(12122328) 陈彦全(12122334) 郜时舜(12122336) 李扬(12122343)

一位原码乘法器电路设计报告 实验目的: 设计一个一位原码乘法电路 实验要求: 通过运用相关的设计开发软件,例如MAXPLUS,完成设计,并递交设计报告。 任务分配: 李扬:资料查询,设计分析 郜时舜:原理设计,线路设计 陈彦全:程序调试,模拟 刘金超:实验报告 资料查询: 图一一位原码乘法算法图 设计分析: 从乘数的第一位开始与被乘数每一位相与,对应第一个加法器的每一个数的四位,另一个数的四位是上一个数的进制位高三位,所得结果的最低位输出. 其高三位和进位位作为下一个加法器的四个输入. 最后一个加法器的输出全部输出,作为结果的最高五位. 原理设计: 需要用到a0~a5,b0~b5这几个重要的输入端,其中A=a4a3a2a1,B=b4b3b2b1。而a0和 b0分别是A,B的符号位。同时还要用到与或非这个门电路,最重要的是4个7483加 法器实现A*B的计算。 线路设计:

图二输入端和输出端

图四中间部分程序测试:

图五测试结果 小组体会: 这次计算机组成原理设计加深了我们对乘法器的认识。,与此同时,我们小组对上学期学到的逻辑门,加法触发器有了新的认识,认识到了团队合作的重要性。有些事情,自己单干是不行的,第一,自己没有那么多时间;第二,众人拾柴火焰高。大家一起讨论之后,能有新的想法。新的好的想法能让我们节省很多时间。讨论好之后,分配好各自的任务后,大家都着手去干了各自的。在大家热情的下,很快大家就把各自的任务做好,提交给组长。通过这次课,我感觉自己长大了好多,不再像以前一样,总是一个人自己忙,不配合组员。团队合作是一个很重要的品质,在大学里我们需要跟不同的人打交道,这必然需要团队合作。最后,希望以后能有更多的机会与他人合作,同时也感谢老师的一些指导。

原码一位乘法器的设计说明

第1章总体设计方案 1.1 设计原理 原码一位乘乘法器中用三个寄存器X,Y和BFJ分别存放被乘数,乘数和部分积。乘法运算开始时,BFJ寄存器被清零,作为初始部分积。被乘数放在X 寄存器中,乘数放在Y寄存器中。实现部分积和被乘数相加是通过X送加法器和Y送加法器,在加法器中完成的。加法器的输出经过移位电路向右移一位送入BFJ寄存器中。Y寄存器是用移位寄存器实现的,其最低位用作Y送加法器的控制命令。因为原码一位乘是通过乘数的最低位是1还是0来确定加数的,当乘数的最后一位为1的时候,部分积加上被乘数,当乘数的最后一位为0的时候,部分积加上0。加法器最低一位的值,在右移的过程中将被移入Y寄存器的最高数值位,这样就使积的低位部分被保存在Y寄存器中,最开始的乘数在逐位右移的过程中不断丢失,直到移位结束。乘法运算完成以后BFJ寄存器中保存的数值是乘积的高位部分,Y寄存器即乘数寄存器中保存乘积的低位部分。 1.2设计思路 实现原码一位乘乘法的逻辑框图如图1.2所示,BFJ存放部分积,X存放被乘数,Y存放乘数。 一个实现一位原码乘法运算的运算器可以由一个被乘数寄存器,一个乘数寄存器,一个部分积寄存器,一个加法器,一个计数器,二选一选择电路以及移位电路七个模块构成。顶层的乘法器模块采用原理图设计输入方式。 被乘数寄存器模块中X为被乘数输入端,LOAD为数据打入电平,CLK为输入脉冲,XOUT为数据输出端口。 乘数寄存器模块中Y为乘数输入端,LOAD为数据打入电平,CLK位输入脉冲,INPUT为部分积最低位输入端,YOUT为数据输出端口,LOWBIT为数乘数最低位输出端。 部分积寄存器中IN为部分积右移一位以后的数据输入端,CLR为清零电平,CLK为输入脉冲,HIGH为加法器的进位输入端,OUT为部分积右移一位后数

原码一位乘法器

实验一、原码一位乘法器 一、引言 在计算机组成原理知识教学过程中,关于二进制乘法运算是一个较难理解的环节,其中又以“定点原码一位乘法算法”是最基础的,针对这一算法设计实验方案,为学员提供实践环境,对深入理解这一问题、以及进一步的学习其他乘法乃至除法算法都十分重要。 二、定点原码一位乘法算法 两个原码数相乘,其乘积的符号为相乘两数符号的异或值,数值则为两数绝对值之积。例如: [X]原=X0X1X2…Xn,X0为符号 [Y]原=Y0Y1Y2…Yn,Y0为符号 则[X·Y]原=(X0⊕Y0)|(X1X2…Xn)·(Y1Y2…Yn),符号“|”表示把符号和数值邻接起来。 在计算时,符号位和数值位分别进行计算。对于数值位,逐次按乘数每一位(从低位到高位)上的值是1还是0,决定一个相加数或者是被乘数或者是0,并向左偏移一位去加上次计算得到的和(它又被称为部分积,其初值为0),如此进行乘数位数次,最终得到乘积。在此需要注意的是:“相加数向左偏移一位后求和”和“上次计算得到的和向右偏移一位后求和”两种求和的方法是等效的,设计乘法器时采用后一种方法,其目的是寻求部份积与乘数移位方向的一致性。

例如X的值为1101,Y的数值为1011,求X·Y数值的过程如下: 三、定点原码一位乘法器的逻辑结构 根据以上算法,设计定点原码一位乘法器的逻辑结构如下图所示:

其中n位寄存器S1n称为部分积寄存器,初值为0,计算结束时寄存乘积高位;一位寄存器S0用以寄存乘积符号;n+1位寄存器Y0n称为被乘数寄存器;n位寄存器X1n称为乘数寄存器,初值为乘数,计算结束时寄存乘积低位;一位寄存器X0用以寄存乘数符号。 控制信号Scr用于S1n清零,低电平有效;Scp用于S1n的触发,上升沿有效;S0cp用于S 0的触发,上升沿有效,X0cp用于X0的触发,上升沿有效,并且乘法器运行时,要求先产生X 0cp,然后再产生S0cp,所以这两个信号正好应用一个正脉冲的两个边沿;Xcp用于X1n的触发,上升沿有效;Ycp用于Y0n的触发,上升沿有效;Isc用于控制X1n是选择开关组值作输入还是选择移位的部分积作输入。 另外,寄存器X1n的最低位Xn采用“与”运算用于控制输入加法器一端的是被乘数还是0,所以为了防止发生不可预期的连加,Scp和Xcp必须是单稳态边沿触发信号。 应用该电路实现定点原码一位乘法的计算过程如下: 1)利用n+1位开关组使用Ycp初始化被乘数寄存器Y0n 为被乘数Y。 2)利用n+1位开关组使用X0cp、Xcp始化乘数寄存器X 0、X1n为乘数X。 3)使用S0cp令S0保存结果符号。 4)使用Scr把部分积寄存器S1n清零。 5)同时发送Scp、Xcp信号n次后得到计算结果。 6)停止。 开关组K0…Kn和LED组伴随计算的进行分别用以输入被乘数、乘数以及显示部分积的变化情况以及最终结果。 四、定点原码一位乘法器的控制电路

相关文档
最新文档