青岛农业大学----电子设计自动化及专用集成电路---课程设计报告汇总

青岛农业大学----电子设计自动化及专用集成电路---课程设计报告汇总
青岛农业大学----电子设计自动化及专用集成电路---课程设计报告汇总

青岛农业大学

理学与信息科学学院

电子设计自动化及专用集成电路

课程设计报告

设计题目一、设计一个二人抢答器二、密码锁

学生专业班级

学生姓名(学号)

指导教师

完成时间

实习(设计)地点信息楼121

年 11 月 1 日

一、课程设计目的和任务

课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。

课程设计任务:

一、设计一个二人抢答器。要求:

(1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。

(2)每人两位计分显示,打错不加分,答对可加10、20、30分。

(3)每题结束后,裁判按复位,重新抢答。

(4)累积加分,裁判可随时清除。

二、密码锁

设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。

二、分析与设计

1、设计任务分析

(1)二人抢答器用Verilog硬件描述语言设计抢答器,实现:

1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。

2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。

3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。

4、选手抢答成功时其对应的分数显示。

(2)密码锁

1、第一个数字控制键用来进行密码的输入

2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

2、设计方案论证详细设计

(1)抢答器的主要利用D触发器的反馈,当检测到第一个上升沿时将按键(未按下时接低电平)接入的与门锁住,以保证与门只有一个上升沿输出,从而实现“最先按下按键的人抢答成功,此后其他人抢答无效”。在与门输出上升沿的同时检测按键,以确定是哪位选手抢答,用寄存器存下抢答选手的信息,最后确定哪个led灯亮以及那位选手对应的分数闪烁。

将各模块代码写好并测试后,在主模块中调用并分配引脚,引脚分配好后,从新编译、下载就可实验了。分别验证上述的各种功能,看是否达到预期的效果。

(2)根据密码输入信号控制I/0 口的输出,第一个按键控制数字的递加,第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位。系统流程如下:

3、详细设计

(1)二人抢答器。顶层模块部分在在quartusII下的仿真原理图如下所示:

抢答部分的仿真图:

加分模块部分(对应snatch模块)在quartusII下的仿真原理图如下所示:

数码管显示模块部分(对应snatch模块)在quartusII下的仿真原理图如下所示:

(2)密码锁

密码锁模块部分(对应snatch模块)在quartusII下的仿真原理图如下所示:

4、源代码分析

(1)二人抢答器代码

m

odu

le top(reset,key,score,clr,led,opin);//顶层模块部分

input reset;//开始抢答按钮

input[1:0] key;//双人抢答按键

input[2:0] score;//加分按钮

input clr;//清零按钮

output[1:0] led;//显示灯

output[31:0] opin;//数码管引脚控制

wire[1:0] m;

wire[7:0] q;

snatch snatch(reset,key,led,m);//调用抢答模块

store store(score,clr,m,q);//调用加分模块

deled deled(q,opin);//调用显示模块

endmodule

module snatch(reset,key,led,m);//抢答模块部分

input reset;

input[1:0] key;

output[1:0] led;

output[1:0] m;

reg q;

reg[1:0] s;

wire out=key[0]|key[1];

wire clk=(!q)&out;

assign m=s;

always@(posedge clk or posedge reset)//判断是否清零

if(reset)

begin

q<=1'b0;

end

else q<=1'b1;

always@(posedge clk)//当开始抢答

时,

判断

是否

有人

答,

并将

抢答

的选

手记

录下

case(key)

2'b01:s<=2'b01;

2'b10:s<=2'b10;

default:s<=2'b??;

endcase

assign led=s;//对应选手的灯亮

endmodule

module store(score,clr,s,q);//加分模块

input clr;

input[2:0] score;

input[1:0] s;

output[7:0] q;

reg[7:0] q;

wire clk=score[0]|score[1]|score[2];//判断是否进行加分

always@(posedge clr or posedge clk)//判断是清零还是加分

begin

if(clr) q<=8'h00;

else

begin

case(s)//判断那位选手加分

2'b01:begin if(q[3:0]<4'h9)

begin

if(score==3'b001)q[3:0]<=q[3:0]+4' b0001;//选手一加10分

else

if(score==3'b010)q[3:0]<=q[3:0]+4'b00 10; //选手一加20分

else

q[3:0]<=q[3:0]+4'b0011; //选手一加30分

end

end

2'b10:begin if(q[7:4]<4'h9)

begin

if(score==2'b001)q[7:4]<=q[7:4]+4' b0001; //选手二加10分

else

if(score==2'b010)q[7:4]<=q[7:4]+4'b00 10; //选手二加20分

else

q[7:4]<=q[7:4]+4'b0011; //选手二加30分

end

end

endcase

end

end

endmodule

module deled(pin,opin);//数码管显示模块

input[7:0] pin;

output[31:0] opin;

reg[31:0] opin;

always@(pin[0] or pin[1] or pin[2] or pin[3] or pin[4] or pin[5] or pin[6] or pin[7])//判断是否有加分显示部分begin

case(pin[3:0])//判断一号选手的分数

4'b0000:opin[15:0]<=16'h3f3f;//显示零分

4'b0001:opin[15:0]<=16'h063f; //显示十分

4'b0010:opin[15:0]<=16'h5b3f; //显示二十分

4'b0011:opin[15:0]<=16'h4f3f; //显示三十分

4'b0100:opin[15:0]<=16'h663f; //显示四十分

4'b0101:opin[15:0]<=16'h6d3f; //显示五十分

4'b0110:opin[15:0]<=16'h7d3f; //显示六十分

4'b0111:opin[15:0]<=16'h073f; //显示七十分

4'b1000:opin[15:0]<=16'h7f3f; //显示八十分

4'b1001:opin[15:0]<=16'h6f3f; //显示九十分

endcase

case(pin[7:4])//判断二号选手的分数

4'b0000:opin[31:16]<=16'h3f3f;

4'b0001:opin[31:16]<=16'h063f;

4'b0010:opin[31:16]<=16'h5b3f;

4'b0011:opin[31:16]<=16'h4f3f;

4'b0100:opin[31:16]<=16'h663f;

4'b0101:opin[31:16]<=16'h6d3f;

4'b0110:opin[31:16]<=16'h7d3f;

4'b0111:opin[31:16]<=16'h073f;

4'b1000:opin[31:16]<=16'h7f3f;

4'b1001:opin[31:16]<=16'h6f3f;

endcase

end

endmodule

(2)密码锁

module

KeyPress(sysclk,reset,keyin,data_out,LE D,YN);

input sysclk; //系统时钟

reg clk; //分频1

reg clk2; //分频2

input reset; //复位

input [2:0] keyin; //三位输入

output [7:0] data_out; //八位数码管输入

reg [7:0] data_out;

output YN; //判断密码正确与否的Led灯

reg YN;

reg [2:0] keyAA [2:0] ; //输入缓冲,防抖

reg [25:0] count; //分频1计数?

reg [25:0] count2; //分频2计数

reg [3:0] count3; //分频2计数2

reg [7:0] countA; //数码管显示延迟计数

reg [3:0] key; //输入计数器

reg [3:0] num; //数字计算

reg [3:0] numSAT[3:0]; //数字输出

reg [3:0] movX; //Led灯选择计数和判断密码

reg [3:0] LEDtem; //led灯选择缓冲

output [3:0] LED; //led灯选择

reg [3:0] LED;

reg enterX; //未定义(本来用作可以自定密码的)

//分频1

always@(posedge sysclk or negedge reset)

if (!reset)

begin

count <= 26'B0;

end

else if (count==120000)

begin

count<= 26'B0;

clk <= ~clk;

end

else

begin

count<=count+26'B1;

end

//分频2

always@(posedge sysclk or negedge reset)

if (!reset)

begin

count2 <= 26'B0;

count3 <= 4'B0000;

end

else if (count2==1200000)

begin

count2 <= 26'B0;

count3 <= count3+ 4'B0001;

if (count3==4'B0011)

begin

clk2<= ~clk2;

count3 <= 4'B0000;

end

end

else

begin

count2<=count2+26'B1;

end

//输入

always@(posedge clk or negedge reset)

if (!reset)

begin

keyAA[0] <=3'B111;

keyAA[1] <=3'B111;

keyAA[2] <=3'B111;

end

else

begin

keyAA[0] <= keyin;

keyAA[1] <= keyAA[0];

end

//判断按键并且比较,赋值,主程序

always@(posedge clk2 or negedge reset)

begin

if (!reset)

begin

movX<= 4'B0000; enterX<= 1'B0;

numSAT[0] = 4'B0; numSAT[0] = 4'B0; numSAT[0] = 4'B0; numSAT[0] = 4'B0;

YN <= 1'B1;

end

else if (keyin==keyAA[1]) begin

if(keyin==3'B110)

key=4'B0001;

else if (keyin==3'B101) key=4'B0010;

else if (keyin==3'B011)

key=4'B0011;

//按键1,数值递增,赋值

if (key==4'B0001)

begin

#20;

num <= num+4'B1;

if(movX==4'B0000)

numSAT[0] = num;

else if (movX==4'B0001) numSAT[1] = num;

else if (movX==4'B0010) numSAT[2] = num;

else if (movX==4'B0011) numSAT[3] = num;

if (num==4'B1010)

num <= 4'B0;

key =4'B0011;

end

//按键2,移位

if (key==4'B0010)

begin

#30

movX <=movX+4'B0001;

if(movX==4'B0100)

begin

if(numSAT[0]==1)

if(numSAT[1]==2)

if(numSAT[2]==3)

if(numSAT[3]==4) YN <=1'B0;

else

YN <=1'B1;

else

YN <=1'B1;

else

YN <=1'B1;

else

YN <=1'B1;

end

if (movX==4'B0101)

movX <= 4'B0;

key=4'B0011;

end

//按键3,未定义

if(key==4'B0011)

begin

enterX <=1'B0;

end

end

end

//根据数字,输出到数码管

always@(posedge clk or negedge reset)

begin

if (!reset)

begin

data_out = 8'B0011_1111;

end

else

begin

countA<= countA+8'B1;

if(countA==8'B0000_0010)

begin

LED =4'B1110;

//高电平有效

case(numSAT[0])

4'B0000:

data_out=8'B0011_1111;//0

4'B0001:

data_out=8'B0000_0110;//1

4'B0010: data_out=8'B0101_1011;//2

4'B0011:

data_out=8'B0100_1111;//3

4'B0100:

data_out=8'B0110_0110;//4

4'B0101:

data_out=8'B0110_1101;//5

4'B0110:

data_out=8'B0111_1101;//6

4'B0111:

data_out=8'B0000_0111;//7

4'B1000:

data_out=8'B0111_1111;//8

4'B1001:

data_out=8'B0110_1111;//9

default:

data_out=8'B0011_1111;//0

endcase

end

else if (countA==8'B0000_0100) begin

LED =4'B1101;

case(numSAT[1])

4'B0000:

data_out=8'B0011_1111;//0

4'B0001:

data_out=8'B0000_0110;//1

4'B0010:

data_out=8'B0101_1011;//2 4'B0011:

data_out=8'B0100_1111;//3

4'B0100:

data_out=8'B0110_0110;//4

4'B0101:

data_out=8'B0110_1101;//5 4'B0110:

data_out=8'B0111_1101;//6

4'B0111:

data_out=8'B0000_0111;//7

4'B1000:

data_out=8'B0111_1111;//8 4'B1001:

data_out=8'B0110_1111;//9

default:

data_out=8'B0011_1111;//0 endcase

end

else if (countA==8'B0000_0110) begin

LED =4'B1011;

case(numSAT[2])

4'B0000:

data_out=8'B0011_1111;//0

4'B0001:

data_out=8'B0000_0110;//1

4'B0010:

data_out=8'B0101_1011;//2

4'B0011:

data_out=8'B0100_1111;//3

4'B0100:

data_out=8'B0110_0110;//4

4'B0101:

data_out=8'B0110_1101;//5

4'B0110:

data_out=8'B0111_1101;//6

4'B0111:

data_out=8'B0000_0111;//7

4'B1000:

data_out=8'B0111_1111;//8

4'B1001:

data_out=8'B0110_1111;//9

default:

data_out=8'B0011_1111;//0

endcase

end

else if (countA==8'B0000_1000) begin

LED =4'B0111;

case(numSAT[3])

4'B0000:

data_out=8'B0011_1111;//0

4'B0001:

data_out=8'B0000_0110;//1

4'B0010:

data_out=8'B0101_1011;//2

4'B0011:

data_out=8'B0100_1111;//3

4'B0100:

data_out=8'B0110_0110;//4

4'B0101:

data_out=8'B0110_1101;//5

4'B0110:

data_out=8'B0111_1101;//6

4'B0111:

data_out=8'B0000_0111;//7 4'B1000:

data_out=8'B0111_1111;//8

4'B1001:

data_out=8'B0110_1111;//9

default:

data_out=8'B0011_1111;//0 endcase

countA <=8'B0;

end

end

end

endmodule

三、系统实施仿真图如下:

当裁判按下允许抢答按钮,之后选手一按下抢答按钮,之后选手一的灯亮,之后将内存器的q置1,选手二再按下按钮时已经失效,根据答题部分裁判加10分,如果裁判按下清零键之后选手分手显示零分,重新开始。

四、总结与体会

经过这次课程设计我收获了很多,虽然在这次课程设计过程中我们遇到很多的麻烦,但是我们还是逐一解决了。各个模块调试没有没有错误,但放在一块调试的时候就出现了错误,还有就是定义变量的时候对后续应用时变量赋值有事出现问题。之后运行没有错误之后仿真却又出现错误,当时特别失望,没有办法只好再一个一个模块的找问题,最终在引脚定义方面发现错误,经过改进成功完成了本次实验。通过本次课程设计我用到了有关数字电路、模拟电路、电子设计自动化的相关课程的知识。也对这些知识进一步的巩固。通过对数字集成电路或模拟集成电路的模拟与仿真,我熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。更锻炼了自己的动手能力。

总之,EDA对于我们通信工程专业的学生来说非常重要,此次实习让我们将课本知识进行实际应用,对我们的能力提高有很大的帮助。

感谢老师孜孜不倦的教诲。相信在EDA学习过程中自己思维能力、学习能力、思考方式的提高,定会在以后的学习过程中给我带来很大的帮助。

五、参考书目

[美] Samir Palnitkar V erilog HDL数字设计与综合(第二版)电子工业出版社

2012年4月

课程设计成绩评定表

学生姓名专业班级

设计题目一、设计一个二人抢答器

二、设计一个四位密码锁

指导教师评语及意见:

指导教师评阅成绩:指导教师签字:

年月日注:此表装订在课程设计之后。

电子电路课程设计密码锁(满分实验报告)

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单……………………………………………19四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如 果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输入 密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。 开锁green 键盘密码锁 错误red 4

CAD课程设计报告

盐城工学院 《电子线路CAD》课程设计报告 设计题号: 第五题 姓名: 邓钟鸣 学院: 信息工程学院 专业: 电科 班级: 141 学号: 33 日期 2016年 12月26日——2017年1月13日 指导教师: 曹瑞、朱明

目录 一、摘要 (1) 二、设计的任务与要求 (1) 三、软件介绍 (1) 四、画图的步骤 (3) 五、设计总结 (20) 六、参考文献 (21) 附录: 附录1.原理图 附录2.PCB图

一、摘要 电子线路CAD是从实用角度出发,详细介绍了Altium Designer的实用功能,可以引导读者轻松入门,快速提高。全面介绍了Altium Designer的界面、基本组成及使用环境等,并详细讲解了电路原理图的绘制、元件设计、印制电路板图的基本知识、印制电路板图设计方法及操作步骤等,详细讲解了电路从电路原理图设计到印制电路板图输出的整个过程。 关键词:Altium Designer软件;电路原理图设计;电路板; 二、设计的任务与要求 1.锻炼学生将理论用于实际和动手的能力以及更熟练的使用Altium Designer软件 2.使学生学会绘制电路原理图、电路查错、仿真、PCB(Printed Circuit Board,印刷电路板)设计 3、掌握元件封装的方法 意义:通过这次Altium Designer期末考试以及报告的设计,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解各单元电路之间的关系及相互影响,从而能正确设计、计算各个单元电路。而且更加掌握的Altium Designer该软件的使用,对原理图的绘制和PCB的布局以及电路的仿真都有了进一步的理解。 三、软件介绍 软件概述: Altium Designer 是Altium澳大利亚公司推出的一个全32位的电路板设计软件。该软件功能强大,人机界面友好,易学易用,使用该软件的设计者可以容易地设计出电路原理图和画出元件设计电路板图。而且由于其高度的集成性与扩展性,一经推出,立即为广大用户所接受,很快就成为世界PC平台上最流行的电子设计自动化软件,并成为新一代电气原理图工业标准。 Altium Designer主要有两大部分组成,每一部分个有几个模块,第一部分是电路设计部分,主要有:原理设计系统,包括用于设计原理图的原理图编辑器Sch,用于修改和生成原理图元件的原件编辑器,以及各种报表的生成器Schlib。印刷电路板设计系统,包括用于设计电路板的电路板编辑器PCB以及用于修改,生成元件封装的元件封装编辑器PCBLib。第二部分是电路仿真与可编程逻辑器件设计。 Altai Designe采用数据库的管理方式。Altium Designe软件沿袭了Protel 以前版本方便易学的特点,内部界面与Protel 99大体相同,新增加了一些功

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

CAD课程设计报告

计算机辅助设计 课程设计报告 课程名称计算机辅助设计 设计题目千斤顶的二维工程图和三维建模专业班级工程力学02 学生姓名齐静学号20097235起止日期2012.1.4至2012.1.13

重庆大学本科学生课程设计任务书 课程设计题目计算机辅助设计 学院资源及环境科学学院专业工程力学年级2009 已知参数和设计要求: 已知参数为:某千斤顶的二维工程图样。 设计要求:根据千斤顶的二维工程图样,用CAD软件完成千斤顶的二维工程图和三维建模,并完成课程设计报告。 学生应完成的工作: 学生用CAD软件完成千斤顶的二维工程图和三维建模,并提交相应的课程设计报告。 目前资料收集情况(含指定参考资料): 千斤顶的二维图样。 课程设计的工作计划: 1、计算机辅助设计上机时间安排: 2012年1月4日至2012年1月13日 2、计算机辅助设计上机地点: A理119 任务下达日期2011年12月30日完成日期年月日指导教师(签名)学生(签名) 说明:1、学院、专业、年级均填全称,如:光电工程学院、测控技术、2003。 2、本表除签名外均可采用计算机打印。本表不够,可另附页,但应在页脚添加页码

2.1千斤顶的二维制图 2.1.1绘制二维图的基准图 1、打开“AutoCAD”,选择“格式”|“图层”命令,弹出“图层特性管理器”窗口,新建“粗实线”、“细实线”、“中心线”、“文字说明”、“虚线”五个图层。设置如下图: 2、选择“格式”|“线型”命令,将全局比例因子设为“0.4”;选择“格式”|“线宽”命令,将“显示线宽”勾选;选择“标注”|“标注样式”,弹出“标注样式管理器”窗口,单击“修改”,修改如下: 3、保存当前绘图,命名为“基准图”,并关闭。 2.1.2绘制二维底座零件图 1、打开“基准图”,选择“粗实线”图层,绘制“200x287”的边框,按照1:1的比例绘制底座零件图并标注,按照要求写好文字说明;

电子商务系统分析与设计课程设计实验报告范本

电子商务系统分析与设计课程设计实 验报告

江苏科技大学 电子商务系统分析与设计课程设计 网上书城系统的开发 学生姓名张颖 学号 班级08404121 指导老师 成绩 经济管理学院信息管理系 1月8日 目录 一.系统规划 (4)

1.2初步调查 (5) 1.3确定电子商务模式和模型 (6) 1.4可行性分析和可行性分析报告 (6) 二.系统分析 (8) 2.1系统调查 (8) 2.2需求规格说明书 (9) 2.2.1 引言 (9) 2.2.2项目概述 (9) 2.2.3需求规定 (10) 2.2.4环境要求 (16) 2.3组织结构分析 (17) 2.4业务流程分析 (17) 2.5数据流程分析 (19) 三.系统设计 (21) 3.1系统总体结构 (21) 3.2网络基本结构 (22) 3.3系统平台选择 (22) 3.4应用系统方案 (23) 3.4.1各功能模块简要描述 (23) 3.4.4数据库设计 (24) 3.4.5用户界面设计 (31)

3.5.1客户端要求 (32) 3.5.2服务器端要求 (32) 3.5.3系统测试 (32) 四.支付系统设计 (39) 4.1支付协议选择 (39) 4.2支付系统数据流程分析 (39) 4.3支付系统安全需求分析 (41) 4.4支付系统总体设计 (42) 4.5支付系统功能 (44) 4.6交易流程设计 (46) 4.7支付系统安全设计 (47) 五.心得体会 (47) 一.系统规划 1.1明确用户需求 随着当今社会新系统大度的提高,网络的高速发展,计算机已被广泛应用于各个领域,因而网络成为人们生活中不可或缺的一部分。互联网用户应经接受了电子商务,网购成为一种时尚潮流。

电子设计大赛常用电路图

错误 !未定义书签。 图2 L293D 的电机驱动电路 图3 电源稳压电路 图4 降压电路

图3 降压斩波电路原理图 图4 电流检测模块

OS CI ICE_SDA ICE_SCK ICE_EN AV SS1OP I AGC M ICOUT DA C2DA C IOB12IOB11IOB15IOB13SLE EP IOB14VS S IOA12IOA14IOA11IOA10IOA15IOA13I O B 9I O B 10IOA9 I O B 5I O B 8I O B 7V C P I O A 8 V D D H I O A 6I O A 7V S S VS S V D D H VS S V R T A V S S 1 V D D _P I O B 2V C M I O A 3I O B 6I O B 1I O A 1V M I C I O B 0I O A 2M I C P R E S _B I O B 4 I O A 4 I O B 3I O A 0I O A 5VREF2V S S V D D H SPCE061A DA C1M ICN AV SS1VDD VS S VS S VS S OS CO +C29100u C31104 U1 OS C32O 12OS C32I 13XT EST 14VDD 15XICE 16XICECLK 17XICES DA 18VS S 19PV IN 20DA C121DA C222VREF223VS S 24AGC 25OP I 26M ICOUT 27M ICN 28PFUSE 29M I C P 33V C M 34V R T P A D 35V D D 36V M I C 37V S S 38I O A 041I O A 142I O A 243I O A 344I O A 445I O A 546I O A 647I O A 748V S S 49V S S 50V D D H 51V D D H 52I O A 8 53 N C 39N C 40NC 30NC 31NC 32 IOA9 54 IOA1055IOA1156IOA1257IOA1358IOA1459IOA1560XROM T 61VS S 62XS LEEP 63IOB1564IOB1465IOB1366IOB1267IOB1168PV PP 69V D D H 75 I O B 1076I O B 977NC 70NC 71NC 72NC 73NC 74I O B 878I O B 779I O B 680I O B 581I O B 41I O B 32I O B 23N C 82N C 83N C 84I O B 14I O B 05X R E S B 6V D D 7V C P 8V S S 9N C 10N C 11C8104C7104C18104 +C5 100u C28104 + C27100u +C17100u + C4100u V D D _A SPCE061A 芯片引脚电路图 电机驱动电路 图5 电源变换电路图

电子科技大学模电课程设计报告——火灾报警电路

电子科技大学模电课程设计报告——火灾报警电路

————————————————————————————————作者:————————————————————————————————日期: 2

电子科技大学 《模拟电路基础》应用设计报告 设计题目:火灾报警电路 学生姓名:学号: 教师姓名:日期: 一、设计任务 通过两个温度传感器获得的电压差实现火灾自动报警。 正常情况下,电压差为零,发光二极管不亮,蜂鸣器不响。 当有火情时,电压差增大,发光二极管发光,蜂鸣器鸣叫。 二、电路原理 根据设计要求,把设计的电路分成以下三个模块(图1): 图1 电路方框图 电路详细构成如下: 放大微 弱电压信 号 判断是否 需要报警 报警指 示

1.二极管温度传感器 仿真时,可用电压源ui1、ui2模拟温度引起的电压变化,但可用二极管作为实际的温度传感器。常温下,硅二极管正向导通时的导通电压约为0.7V。 流过二极管的正向电流固定时,温度每上升1度,正向电压下降大约2mV。 图2 二极管温度传感器 2.差分电压放大电路 发生火灾时,温度传感器的电压差可以迅速上升至几十到几百mV,根据后级的比较电压确定放大倍数,通过差分放大器将电压放大到大于比较 电压。 因此选择图三这样的电路可将微弱的电信号放大10倍左右。 图3 差分电压放大电路 ) ( 1 2I I f O u u R R u- ? =

3.单限电压比较器 差分电路输出的电压从U2的正向输入端输入,与单限电压比较器的阈值电 压UT 进行比较。 图4 单限电压比较器 CC T oH o T o oL o T o V R R R U U u U u U u U u ?+= =>=<4 34 2121;;时,时,

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

电子线路CADI课程设计报告

电子线路CADI 课程设计报告 电子11-1班 陈小明 1105110109 一、设计目的: 1、掌握专业基础知识的综合应用能力。 2、通过Mutisim 软件,掌握电子电路局部电路的设计、调试、仿真及分析能力。 3、完成设计电路的原理设计、仿真分析、故障排除。 4、逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 二、设计虚拟仪器及器件 虚拟示波器、信号发生器、数字万用表、集成放大器等 三、设计原理及内容 (一)、设计题 1、函数发生电路 应用模拟集成乘法器与集成运算放大器,设计函数发生电路。函数形式为:运算电路实现2 i i i o cu bu dt u a u ++=? 。用积分运算电路和反响比例运算电路实现Uo1=?1 RC ∫μi dt ,运用同相比例运算电路实现Uo2=(1+Rf R )μi ,运用乘方运算电路实现Uo3=k μi 2,最后用同向求 和运算电路实现Uo=Uo1+Uo2+Uo3。

2、方波电路。 由迟滞比较器和RC电路组成,RC回路作为延迟环节和反馈网路。由于电路中二极管D1、D2的单向导电性,使电容C的充放电回路分开,调节电位器,就可以调节多谐振荡器 ≈的占空比。通过改变Rw1的大小来使电容正反向充电常数进而改变占空比,公式为q=T1 T Rw1+R3 Rw+2R3

(二)、指定电路分析题 1、大范围可变占空比方波产生电路 555定时器用作延时控制。电路中二极管D1、D2的单向导电性,使电容C的充放电回

路分开,调节电位器,可以调节多谐振荡器的占空比。 2、两级放大电路原理图 该电路为共发射极电路,阻容耦合式两级基本放大电路。输入信号经前级放大后作为后级的输入再经后级放大电路放大,总放大倍数为前后级放大倍数的乘积。C3使各级的静态工

中南大学电工电子课程设计实验报告

中南大学 电工电子技术课程设计报告 题目:可编程乐曲演奏器的设计 学院:信息科学与工程学院 指导老师:陈明义 专业班级: 姓名: 学号:

前言 随着科学技术发展的日新日异,电工电子技术在现代社会生产中占据着非常重要的地位,因此作为二十一世纪的自动化专业的学生而言,掌握电力电子应用技术十分重要。 电工电子课程设计的目的在于进一步巩固和加深所学电工电子基本理论知识。使学生能综合运用相关关课程的基本知识,通过本课程设计,培养我们独立思考的能力,学会和认识查阅学习我们未学会的知识,了解专业工程设计的特点、思路、以及具体的方法和步骤,掌握专业课程设计中的设计计算、软件编制,硬件设计及整体调试。设计过程中还能树立正确的设计思想和严谨的工作作风,达到提高我们的设计能力的目标。 从理论到实践,往往看似简单,实则是有很大的差距的,通过课程设计,可以培养我们学到很多东西,不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学到知识,从而提高自己的实际动手能力和独立思考的能力。 在次,特别感谢老师给我们以实践动手的机会,让我们对以前的知识以复习,整合,并从理论走向实践,相信我们都会在这次课程设计中学到很多!!!

目录 前言 (2) 正文 第一章系统概述 (4) 系统功能 (4) 系统结构 (4) 实验原理 (4) 整体方案 (5) 第二章单元电路的设计与分析 (5) 音频发生器的设计 (5) 节拍发生器的设计 (6) 读取存储器数据 (7) 选择存储器地址 (8) 控制音频电路设计 (8) 第三章电路的安装与调试 (9) 第四章结束语 (9) 元器件明细表 (10) 参考文献 (10) 附录 (11)

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

电子线路课程设计报告

石英晶体好坏检测电路设计 设计要求 1. 利用高频电子线路及其先修课程模拟电路的知识设计一个电子线路2.利用该电子线路的要求是要求能够检测石英晶体的好坏 3. 要求设计的该电子线路能够进行仿真 4. 从仿真的结果能够直接判断出该石英晶体的好坏 5. 能够理解该电子线路检测的原理 6. 能够了解该电子线路的应用 成果简介设计的该电子线路能够检测不同频率石英晶体的好坏。当有该石英晶体(又称晶振)的时候,在输出端接上一个示波器能够有正弦波形输出,而当没有 该晶振的时候,输出的是直流,波形是一条直线。所以利用该电路可以在使 用晶振之前对其进行检测。 报告正文 (1)引言: 在高频电子线路中,石英晶体谐振器(也称石英振子)是一个重要的高频部件,它广泛应用于频率稳定性高的振荡器中,也用作高性能的窄带滤波 器和鉴频器。其中石英晶体振荡器就是利用石英晶体谐振器作滤波元件构成 的振荡器,其振荡频率由石英晶体谐振器决定。与LC谐振回路相比,石英晶 体谐振器有很高的标准性,采用品质因数,因此石英晶体振荡器具有较高的 频率稳定度,采用高精度和稳频措施后,石英晶体振荡器可以达到很高的频 率稳定度。正是因为石英晶体谐振器的这一广泛的应用和重要性,所以在选 择石英晶体谐振器的时候,应该选择质量好的。在选择的时候要对该晶振检 测才能够知道它的好坏,所以要设计一个检测石英晶体好坏的电路。 (2)设计内容: 设计该电路的原理如下:

如下图所示,BX为待测石英晶体(又名晶振),插入插座X1、X2,按下按钮SB,如果BX是好的,则由三极管VT1、电容器C1、C2等构成的振荡器工作,振荡信号从VT1发射极输出,经C3耦合到VD2进行检波、C4滤波,变成直流信号电压,送至VT2基极,使VT2导通,发光二极管H发光,指示被测石英晶体是好的。若H不亮,则表明石英晶体是坏的。适当改变C1、C2的容值,即可用于测试不同频率的石英晶体。 图一石英晶体好坏检测电路检测原理图 在上面的电路中,晶振等效于电感的功能,与C1和C2构成电容三点式振荡电路,振荡频率主要由C1、C2和C3以及晶振构成的回路决定。即由晶振电 抗X e 与外部电容相等的条件决定,设外部电容为C L ,则=0,其中C l 是C1、 C2和C3的串联值。 (3)电路调试过程: 首先是电路的仿真过程,该电路的仿真是在EWB软件下进行的,下面是将原图画到该软件后的截图:

CAD课程设计报告书

目录 一、课程设计任务书 (1) 二、项目说明 (2) 三、配电工程图的绘制 (3) 1、图层、线型、文字等基本绘图环境的设置及绘图模板的绘制 2、主要结构尺寸及尺寸配合的确定。 3、问题及讨论。 四、心得体会 (4) 五、配电工程图 (5) 六、参考文献附 (6)

一、设计任务书 设计目的: 熟悉Auto CAD设计软件通过本课程的学习,使学生掌握CAD绘图软件的使用方法和技巧,在时间学习中逐步提高应用水平,并能应用CAD绘图软件进行供配电系统断路器设计。通过绘制供配电系统断路器设计巩固并能综合运用已学过的CAD绘图软件的有关知识,增强计算机辅助绘图的能力,使学生掌握电气设计的基本原则和方法,掌握查阅文献、收集资料、分析计算、综合论证、设计制图、数据处理等多方面的基本技能。掌握优化设计的方法、步骤。掌握变电站设计性能参数及结构掌握AutoCAD2010的常用绘图工具的使用掌握AutoCAD2010的常用编辑工具的使用 设计内容要求: 变电站是电力系统的重要组成部分,是联系发电厂和用户的中间环节。它起着变换和分配电能的作用。变电站的设计必须从全局利益出发,正确处理安全与经济基本建设与生产运行。近期需要与今后发展等方面的联系,从实际出发,结合国情采用中等适用水平的建设标准,有步骤的推广国内外先进技术并采用经验鉴定合格的新设备、新材料、新结构。根据需要与可能逐步提高自动化水平。变电站电气主接线指变电站的变压器、输电线路怎样与电力系统相连接,从而完成输配电任务,变电所的主接线是电力系统接线组成中的一个重要组成部分。一次主接线的设计将直接影响各个不同电压侧电气设备的总体布局,并影响各进出线的安装间隔分配,同时还对变电所的供电可靠性和电气设备运行、维护的方便性产生很大的影响。主接线方案一旦确定,各进出线间和电气设备的相对位置便固定下来,所以变电所的一次主接线是电气设计的首要部分 1、辉县北郊变电站施工图10kv进线柜二次进线图 2、辉县北郊变电站主变保护柜端子排图 3、辉县北郊变电站主变保护柜电气布置图 4、辉县北郊变电站主变保护原理图

电子CAD课程设计实验报告

一.课程设计的目的 课程设计以电子线路CAD软件设计原理为基础,重点在硬件设计领域中实用的电子线路设计软件的应用。掌握电子线路设计中使用CAD的方法。为后继课程和设计打下基础。 通过电路设计,掌握硬件设计中原理图设计、功能仿真、器件布局、在线仿真、PCB设计等硬件设计的重要环节。 二.课程设计题目描述和要求 2.1振荡电路的模拟和仿真。 由555定时器构成多谐波振荡电路,用模拟的示波器观察输出的信号,熟悉555定时器构成多谐波振荡电路的基本原理,熟悉proteus的基本操作,和各元器件的查找。 2.2 8051单片机 用80c51单片机完成以下功能:(1)构成流水灯的控制电路,使八个流水灯轮流点亮。(2)构成音乐播放的简单电路。(3)构成串口通信电路,完成信息在单片机和串口之间的传播。(4)构成8255键盘显示模块。(5)构成A/D和D/A 转换模块。 首先用模拟器件构成基本电路,然后在单片机中加入驱动程序,运行仿真,最后对电路进行调整校正,完成相关功能。 熟悉单片机实现相关功能的基本原理,对单片机有个框架的了解。学习用proteus仿真单片机电路中不同模块间的组合,扩展单片机电路的功能。 三.课程设计报告内容。 3.1设计原理 3.1.1振荡电路仿真的原理 振荡电路原理: 555管脚功能介绍: 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电

从EMC角度考虑常用电路设计及PCB设计

从EMC角度考虑常用电路设计及PCB设计 A.电源电路 电源电路设计中,功能性设计主要考虑温升和纹波大小。温升大小由结构 很关键:大电容一般采用低ESR电容,小电容采用0.1UF和1000pF共用。电源电路设计中,电磁兼容设计是关键设计。主要涉及的电磁兼容设计有:传导发射和浪涌。 传导发射设计一般采用输入滤波器方式。外部采购的滤波器内部电路一般采用下列电路: Cx1和Cx2为X电容,防止差模干扰。差模干扰大时,可增加其值进行抑制;Cy1和Cy2为Y电容,防止共模干扰。共模干扰大时,可增加其值进行抑制。需要注意的是,如自行设计滤波电路,Y电容不可设计在输入端,也不可双端都加Y电容。 浪涌设计一般采用压敏电阻。差模可根据电源输入耐压选取;共模需要电源输入耐压和产品耐压测试综合考虑。 当浪涌能量大时,也可考虑压敏电阻(或TVS)与放电管组合设计。

1 电源输入部分的EMC设计 应遵循①先防护后滤波;②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端;③在电源输入端滤波电路前和滤波电路中无采样电路和其它分叉电路;如果一定有采样电路,采样电路应额外增加了足够的滤波电路。 原因说明: ①先防护后滤波: 第一级防护器件应在滤波器件之前,防止滤波器件在浪涌、防雷测试中损坏,或导致滤波参数偏离,第二级保护器件可以放在滤波器件的后面;选择防护器件时,还应考虑个头不要太大,防止滤波器件在PCB布局时距离接口太远,起不到滤波效果。 ②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端:CLASSB要求比CLASS A要求小10dB,即小3倍,所以应有两级滤波电路; CLASSA规格要求至少一级滤波电路;所谓一级滤波电路指包含一级共模电感的滤波电路。

电子技术课程设计报告三端集成稳压电路

河南机电高等专科学校电子技术课程设计报告设计课题:三端集成稳压电路

三端集成稳压电路 一、设计任务与要求 1. 掌握二极管的单向导电性及用途; 2.了解三端集成稳压器LM7805和LM317的用途及区别; 3.对桥式整流滤波电路进行了解; 4.对变压器知识进行回顾; 5.培养实践技能,提高分析和解决实际问题的能力; 6.要求安全用电,正确使用元件 二、方案设计与论证 可调直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压把家用照明电交流电压220V变为所需要的低压交流电。桥式整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压可在1.25V-37V可调。 方案一、使用型号LM317三端稳压集成器。接入220V家用照明电源,通过降压变压器,使电压降到适合的值,然后使用IN4001型号二极管,电容等设计整流滤波电路,然后通过使用型号LM317三端稳压集成器,输出一个稳定直流电。 方案二、使用型号LM7805三端稳压集成器。接入220V家用照明电源,通过降压变压器,使电压降到适合的值,然后使用IN4007型号二极管,电容等设计整流滤波电路,然后通过使用型号LM7805三端稳压集成器,输出一个稳定直流电。 论证:由于设计要求通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压可在1.25V-37V可调。对于型号LM7805三端稳压集成器来说,输入电压为9V--20V,输出电压为固定值5,输出最大电流为1.5A;而型号LM317三端稳压集成器输入电压的要求范围比较大,输出电压为可调的,电压的范围1.25V-37V,输出电流的最大值与上面的相同,对于此设计来说LM317的选择性比较高,比较容易操作。 通过论证,最终确定选用方案一。

电子线路CAD课程设计报告

XX大学 电子线路CAD课程设计 题目:串联直流稳压电源 学院:通信与电子工程学院 专业班级:电子122 学生XX:温凯华 指导教师:X劲松

概述 直流稳压电源应用广泛,几乎所有电器、电力或电子设备都毫不例外地需要稳定的直流电压(电流)供电,它是电子电路工作的“能源”和“动力”。不同的电路对电源的要求是不同的。在很多电子设备和电路中需要一种当电网电压波动或负载发生变化时,输出电压仍能基本保持不变的电源。电子设备中的电源一般由交流电网提供,如何将交流电压(电流)变为直流电压(电流)供电?又如何使直流电压(电流)稳定?这是电子技术的一个基本问题。解决这个问题的方案很多,归纳起来大致可分为线性电子稳压电源和开关稳压电源两类,它们又各自可以用集成电路或分立元件构成。 半导体二极管和晶体管是电子电路中常用的半导体器件,也是构成集成电路的基本单元。本工程训练主要利用这两种元器件设计制作一个分立式元器件串联反馈型稳压电源。直流稳压电源由交流电网经变压、整流、滤波、和稳压四个主要部分构成。本次设计的主要内容是围绕着如何使分立式元器件串联可调直流稳压电源输出直流电压稳定、脉动成分减小而展开的。首先介绍了全波整流电路的工作原理,接着介绍了电容滤波电路的性能特点,然后引入了具有放大环节和辅助电源的串联可调式稳压电源,并在电路中采用了提高稳定度,提高温度稳定性及限流型过流保护电路的具体措施,以确保电路安全稳定的工作。

目录 一串联直流型稳压电源整体简介3 1.1 制作串联型稳压电源的目的要求3 1.2 基本知识介绍3 二分立式元器件串联反馈型稳压电源设计与计算9 2.1串稳压电路原理9 2.2 实验设计原理图10 2.3 电路整体结构的设计与各部分相关参数的计算10 2.4电路选择11 三总结20

【VIP专享】电子技术课程设计实验报告

电子技术课程设计实验报告 学院:物联网工程学院 班级:自动化1204 姓名:XXX 学号:1070412428 同组成员:XXX 二〇一四年六月

目录 一、实验名称 (3) 二、实验任务和要求 (3) 三、实验电路 (a)系统框图 (3) (b)总电路原理图 (4) (c)总电路管脚图 (5) 四、单元电路及原理分析 (1)+5V电源电路 (5) (2)正弦波发生及波形变换电路 (6) (3)单稳态定时电路 (7) (4)频率计数显示电路 (7) (5)超量程指示电路 (8) (6)控制电路 (9) 五、元器件列表 (10) 六、安装与调试 1、使用仪器仪表 (10) 2、安装 (10) 3、调试 (11) 4、调试中出现的故障、原因及排除方法 (14) 七、收获和体会 (15)

一、实验名称 正弦波发生、频率测量显示电路 二、实验任务和要求 正弦波振荡频率100~1000Hz,输出信号幅度5±5%V; (1)用3位数码管显示振荡频率; (2)能自动连续测量、显示频率,测量周期为4S; (3)用中规模集成电路实现。 三、实验电路 (a)系统框图 图1-1 正弦波发生电路组成框图 (b)总电路原理图

原理图分析:正弦波振荡器自激振荡产生正弦波输出信号,波形变换电路将正弦波变换成方波,方波输入到计数器中,由计数器对输入方波信号进行计数,计数器的计数结果在译码显示中显示;控制电路部分输出定时触发信号、超量程复位信号和清零信号,定时触发信号输入到单稳态定时电路中,单稳态定时电路将定时触发信号给计数器,计数器在定时周期内对方波信号进行计数;超量程复位信号和计数器输出的超量程指示同时控制超量程指示电路部分,发光二极管发光进行超量程指示;清零信号输入到计数器中,在计数超过量程时计数器清零。

电气原理图及电子电路

电气原理图及接线图识读方法VS画图技巧2016-11-11 07:30 识图方法 电气图纸一般可分为两大类,一类为电力电气图,它主要是表 述电能的传输、分配和转换,如电网电气图、电厂电气控制图等。 另一类为电子电气图,它主要表述电子信息的传递、处理;如 电视机电气原理图。本文主要谈电力电气图的识读。 电力电气图分一次回路图、二次回路图。一次回路图表示一次电气 设备(主设备)连接顺序。一次电气设备主要包括发电机、变压器、 断路器、电动机、电抗器、电力电缆、电力母线、输电线等。 为对一次设备及其电路进行控制、测量、保护而设计安装的各类 电气设备,如测量仪表、控制开关、继电器、信号装置、自动装置 等称二次设备。表示二次设备之间连接顺序的电气图称二次回路 图。 一、电气图的种类 电气图主要有系统原理图、电路原理图、安装接线图。 1.系统原理图(方框图) 用较简单的符号或带有文字的方框,简单明了地表示电路系统的最 基本结构和组成,直观表述电路中最基本的构成单元和主要特征 及相互间关系。 2.电路原理图 电路原理图又分为集中式、展开式两种。集中式电路图中各元器件 等均以整体形式集中画出,说明元件的结构原理和工作原理。识读 时需清楚了解图中继电器相关线圈、触点属于什么回路,在什么情 况下动作,动作后各相关部分触点发生什么样变化。 展开式电路图在表明各元件、继电器动作原理、动作顺序方面, 较集中式电路图有其独特的优点。展开式电路图按元件的线圈、触 点划分为各自独立的交流电流、交流电压、直流信号等回路.凡属 于同一元件或继电器的电流、电压线圈及触点采用相同的文字。展

开式电路图中对每个独立回路,交流按U、V、W相序;直流按继电器动作顺序依次排列。识读展开式电路图时,对照每一回路右侧的文字说明,先交流后直流,由上而下,由左至右逐行识读。集中式、展开式电路图互相补充、互相对照来识读更易理解。 3.安装接线图 安装接线图是以电路原理为依据绘制而成,是现场维修中不可缺少的重要资料。安装图中各元件图形、位置及相互间连接关系与元件的实际形状、实际安装位置及实际连接关系相一致。图中连接关系采用相对标号法来表示。 二、识读电气图须知 1.学习掌握一定的电子、电工技术基本知识,了解各类电气设备的性能、工作原理,并清楚有关触点动作前后状态的变化关系。 2.对常用常见的典型电路,如过流、欠压、过负荷、控制、信号电路的工作原理和动作顺序有一定的了解。 3.熟悉国家统一规定的电力设备的图形符号、文字符号、数字符号、回路编号规定通则及相关的国标。了解常见常用的外围电气图形符号、文字符号、数字符号、回路编号及国际电工委员会(IEC)规定的通用符号和物理量符号(相关资料附后)。 4.了解绘制二次回路图的基本方法。电气图中一次回路用粗实线,二次回路用细实线画出。一次回路画在图纸左侧,二次回路画在图纸右侧。由上而下先画交流回路,再画直流回路。同一电器中不同部分(如线圈、触点)不画在一起时用同一文字符号标注。对接在不同回路中的相同电器,在相同文字符号后面标注数字来区别。 5.电路中开关、触点位置均在"平常状态"绘制。所谓"平常状态"是指开关、继电器线圈在没有电流通过及无任何外力作用时触点的状态。通常说的动合、动断触点都指开关电器在线圈无电、无外力作用时它们是断开或闭合的,一旦通电或有外力作用时触点状态随之改变。 三、识读电气图方法 1.仔细阅读设备说明书、操作手册,了解设备动作方式、顺序,有关设备元件在电路中的作用。

相关文档
最新文档