第3章-组合逻辑电路分解
合集下载
第三章组合逻辑电路

第三章组合逻辑电路
(一)二进制译码器
八个译码输出的逻辑表达式:
每一个输出都对应着一种输入状态的组合, 所以也叫做状态译码器。
第三章组合逻辑电路
2. 3线-8线译码器 74HC138
1
第三章组合逻辑电路
利用74HC138的使能端E 2
,可以扩展译码器输入
的变量数。74HC138构成的4线-16线译码器。
第三章组合逻辑电路
74HC138所构成的八路数据分配器的逻辑框图
第三章组合逻辑电路
五、数据比较器
在数字系统中,经常需要对两组二进制数或二—十进制数进行 比较,用来比较两组数字的电路称为数字比较器。只比较两组数 字是否相等的数字比较器称同比较器。不但比较两组数是否相等, 而且还比较两组数的大小的数字比较器称大小比较器或称数值比 较器。下面只介绍数值比较器。
第三章组合逻辑电路
共阴极半导体7段数码管BS201
第三章组合逻辑电路
a)管脚排列图 b)内部接线图
共阳极LED数码管
第三章组合逻辑电路
a)管脚排列图 b)内部接线图
各段笔划的组合能显示出十进制数0~9及某些英文字母。 半导体数码管的优点是工作电压低(1.7~1.9V),体积小, 可靠性高,寿命长(大于一万小时),响应速度快(优于10ηs), 颜色丰富等,目前已有高亮度产品,缺点是耗电比液晶数码管大, 工作电流一般为几毫安至几十毫安。
三位二进制编码器 a) 示意图 b) 内部原理图
第三章组合逻辑电路
三位二进制编码器真值表
三位二进制编码器有八个输入端(可与八个开关或其它逻 辑电路相连)和三个输出端,因此,它也称为8线-3线编码器。 三个编码器输出的逻辑表达式为:
第三章组合逻辑电路
(一)二进制译码器
八个译码输出的逻辑表达式:
每一个输出都对应着一种输入状态的组合, 所以也叫做状态译码器。
第三章组合逻辑电路
2. 3线-8线译码器 74HC138
1
第三章组合逻辑电路
利用74HC138的使能端E 2
,可以扩展译码器输入
的变量数。74HC138构成的4线-16线译码器。
第三章组合逻辑电路
74HC138所构成的八路数据分配器的逻辑框图
第三章组合逻辑电路
五、数据比较器
在数字系统中,经常需要对两组二进制数或二—十进制数进行 比较,用来比较两组数字的电路称为数字比较器。只比较两组数 字是否相等的数字比较器称同比较器。不但比较两组数是否相等, 而且还比较两组数的大小的数字比较器称大小比较器或称数值比 较器。下面只介绍数值比较器。
第三章组合逻辑电路
共阴极半导体7段数码管BS201
第三章组合逻辑电路
a)管脚排列图 b)内部接线图
共阳极LED数码管
第三章组合逻辑电路
a)管脚排列图 b)内部接线图
各段笔划的组合能显示出十进制数0~9及某些英文字母。 半导体数码管的优点是工作电压低(1.7~1.9V),体积小, 可靠性高,寿命长(大于一万小时),响应速度快(优于10ηs), 颜色丰富等,目前已有高亮度产品,缺点是耗电比液晶数码管大, 工作电流一般为几毫安至几十毫安。
三位二进制编码器 a) 示意图 b) 内部原理图
第三章组合逻辑电路
三位二进制编码器真值表
三位二进制编码器有八个输入端(可与八个开关或其它逻 辑电路相连)和三个输出端,因此,它也称为8线-3线编码器。 三个编码器输出的逻辑表达式为:
第三章组合逻辑电路
第三章 组合逻辑电路PPT课件

或非门同样可组成实现各种逻辑功能的逻辑电 路。所以,或非门也是一种通用门。
10
3 、 “与或非” 门
"与或非"门也是一种通用门。
仅当每一个“与项”均为0时,才能使F为1, 否则F为0。
11
4、" 异或 " 门
“ 异或 ” 运算是一种特殊的逻辑运算 , 用符号 表示.
变量A、B取值相同,F为0;变量A、B取值相异,F为1。
24
25
3.3组合逻辑电路的分析
分析的任务:根据给定的组合电路,写出逻辑函数表 达式,并以此来描述它的逻辑功能,确定输入与输出 的关系,必要时对其设计的合理性进行评定。
分析的一般步骤: 第一步: 写出给定组合电路的逻辑函数表达式 第二步: 化简逻辑函数表达式 第三步: 根据化简的结果列出真值表 第四步: 功能评述
“ 同或 ” 运算用符号 表示, 逻辑表达式为:
变量A、B取值相同,F为1;变量A、B取值相异,F为0。
由于同或实际上是异或之非,所以实际应用中通常 用异或门加非门实现同或运算。
12
3.2逻辑函数的实现
函数的表现形式和实际的逻辑电路之间有着对 应的关系,而实际逻辑电路大量使用 “ 与 非 ” 门、 “ 或非 ” 门、 “ 与或非 ” 门 等。
1、“ 与非 ” 门
使用 “ 与非 ” 门可以实现 “ 与 ” 、 “ 或 ” 、 “ 非 ”3 种基本运算 , 并可构 成任何逻辑电路 , 故称为通用逻辑门。
只要变量有一个为0,则函数F为1;仅当变 量全部为1时,函数F为0。
9
2、 “ 或非 ” 门
只要变量中有一个为1,则函数F为0;仅当变 量全部为0时,函数F为1。
闭合 不闭合
闭合 闭合
10
3 、 “与或非” 门
"与或非"门也是一种通用门。
仅当每一个“与项”均为0时,才能使F为1, 否则F为0。
11
4、" 异或 " 门
“ 异或 ” 运算是一种特殊的逻辑运算 , 用符号 表示.
变量A、B取值相同,F为0;变量A、B取值相异,F为1。
24
25
3.3组合逻辑电路的分析
分析的任务:根据给定的组合电路,写出逻辑函数表 达式,并以此来描述它的逻辑功能,确定输入与输出 的关系,必要时对其设计的合理性进行评定。
分析的一般步骤: 第一步: 写出给定组合电路的逻辑函数表达式 第二步: 化简逻辑函数表达式 第三步: 根据化简的结果列出真值表 第四步: 功能评述
“ 同或 ” 运算用符号 表示, 逻辑表达式为:
变量A、B取值相同,F为1;变量A、B取值相异,F为0。
由于同或实际上是异或之非,所以实际应用中通常 用异或门加非门实现同或运算。
12
3.2逻辑函数的实现
函数的表现形式和实际的逻辑电路之间有着对 应的关系,而实际逻辑电路大量使用 “ 与 非 ” 门、 “ 或非 ” 门、 “ 与或非 ” 门 等。
1、“ 与非 ” 门
使用 “ 与非 ” 门可以实现 “ 与 ” 、 “ 或 ” 、 “ 非 ”3 种基本运算 , 并可构 成任何逻辑电路 , 故称为通用逻辑门。
只要变量有一个为0,则函数F为1;仅当变 量全部为1时,函数F为0。
9
2、 “ 或非 ” 门
只要变量中有一个为1,则函数F为0;仅当变 量全部为0时,函数F为1。
闭合 不闭合
闭合 闭合
《数字电子技术基础》第3章.组合逻辑电路PPT课件

3.4 典型组合逻辑电路及其应用
3.4.3 数据选择器
示意图数据选择器 (multiplexer,MUX)又 称多路选择器或多路开关, 是应用比较广泛的中规模 组合逻辑电路,尤其是电 子设计自动化技术发展成 熟的今天。
图3.4.19 数据选择器
3.4 典型组合逻辑电路及其应用
1.典型数据选择器
1)双4选1数据选择器74153
3.2.2 冒险现象的判断
1.代数法
2.卡诺图法
3.2 组合逻辑电路中的竞争冒险与消除方法
3.2.3 冒险现象的消除方法
1.增加冗余项
2.输出接滤波电容
3.增加选通信号
3.3 VHDL的顺序行为
3.3.1 进程语句
进程本身是并行行为,且存在于结构体中。进程内 部的语句要进入进程之后才能顺序执行。进入进程是靠敏 感信号发生变化的时候,称此时为“激活”进程。若敏感 信号同时激活多个进程,进程是按并行行为执行的。进程 语句的一般形式如下:
(1)第2号不能与第7号同时配用。 (2)第3号和第6号必须同时配用。 (3)同时用第4、9号时,必须配用11号。
请设计一个逻辑电路,在违反上述任何一个规定时,发出 报警指示信号。
解:(1)设置11种化学试剂为输入信号,2对应A,7对应B, 3对应C,6对应D,4对应E,9对应F和11对应G。设置F1、F2和F3 分别为违反3种规定的输出。
<进程标号> :PROCESS<敏感信号表> <进程说明区> BEGIN <语句部分> WAIT ON<敏感信号表> ; UNTIL<条件表达式> ; WAIT FOR<时间表达式> ; END PROCESS;
第三章组合逻辑电路ppt课件

图3.3.1 3位二进制普通编码器框图 《数字电子技术》
3.3 若干常用中规模组合逻辑电路 表3-3-1 3位二进制普通编码器真值表
《数字电子技术》
3.3 若干常用中规模组合逻辑电路
由于普通编码器在任何时刻 I0 ~ I7 当中仅有一个 取值为1,即只有真值表中所列的8种状态,而且它
的( 28 8 )种状态均为约束项。因此,由真值表
A
&
1
F2
C
B
&
C
图3.2.1 【例1】逻辑电路图
《数字电子技术》
3.2 组合逻辑电路的分析和设计方法
§3.2.2 组合逻辑电路的设计方法
所谓“设计”:即根据给出的实际逻辑问题,求出实 现这个逻辑功能的最简逻辑电路。
所谓“最简”:是指所用器件最少,器件种类最少, 而且器件之间的连线也最少。
一、设计步骤 (1)进行逻辑抽象
【例1】试用两片74LS148接成16线-4线优先编码器,
将-的优11先11权’最1低6个。146位个二低进A电0A制平1~5代输A码1入5 ,信其号中
编为‘0000
的A优0 先权最高,
接成的电路图如图3.3.4所示:
《数字电子技术》
3.3 若干常用中规模组合逻辑电路
图3.3.4 用两片74LS148接成的16线-4线优先编码器逻辑图
I7
)
S
Y0 (I1I2 I4 I6 I3 I4 I6 I5 I6 I7 ) S
(由功能表第一行体现)。
《数字电子技术》
3.3 若干常用中规模组合逻辑电路
(2)YS 为选通输出端,其表达式为:
YS I0 I1 I2 I3 I4 I5 I6 I7 S
此式表明:只有当所有的编码输入端均为高 电平(即没有编码输入),且S=1( S 0 )
3.3 若干常用中规模组合逻辑电路 表3-3-1 3位二进制普通编码器真值表
《数字电子技术》
3.3 若干常用中规模组合逻辑电路
由于普通编码器在任何时刻 I0 ~ I7 当中仅有一个 取值为1,即只有真值表中所列的8种状态,而且它
的( 28 8 )种状态均为约束项。因此,由真值表
A
&
1
F2
C
B
&
C
图3.2.1 【例1】逻辑电路图
《数字电子技术》
3.2 组合逻辑电路的分析和设计方法
§3.2.2 组合逻辑电路的设计方法
所谓“设计”:即根据给出的实际逻辑问题,求出实 现这个逻辑功能的最简逻辑电路。
所谓“最简”:是指所用器件最少,器件种类最少, 而且器件之间的连线也最少。
一、设计步骤 (1)进行逻辑抽象
【例1】试用两片74LS148接成16线-4线优先编码器,
将-的优11先11权’最1低6个。146位个二低进A电0A制平1~5代输A码1入5 ,信其号中
编为‘0000
的A优0 先权最高,
接成的电路图如图3.3.4所示:
《数字电子技术》
3.3 若干常用中规模组合逻辑电路
图3.3.4 用两片74LS148接成的16线-4线优先编码器逻辑图
I7
)
S
Y0 (I1I2 I4 I6 I3 I4 I6 I5 I6 I7 ) S
(由功能表第一行体现)。
《数字电子技术》
3.3 若干常用中规模组合逻辑电路
(2)YS 为选通输出端,其表达式为:
YS I0 I1 I2 I3 I4 I5 I6 I7 S
此式表明:只有当所有的编码输入端均为高 电平(即没有编码输入),且S=1( S 0 )
第三章 组合逻辑电路(new)分解

组合逻辑电路——译码器
常用译码器:
常用的译码器有:双2:4线译码器,3:8线译码器, 4:16线译码器和4:10线译码器等,其中4:10线译 码器用于BCD码译码。
下面就以3-8译码器为例子,介绍如何使用VHDL语 言描述实现。
组合逻辑电路——译码器
3-8译码器
如图所示,是个3-8译码器(74LS138) 3个二进制输入端,对输入a, b, c的值 进行译码,就可以确定哪一个输出端变 为有效(低电平) g1, g2a, g2b是选通信号,只有当 g1=‘1’, g2a=‘0’和g2b=‘0’时, 译码器才正常译码。
Architecture behav of priorityencoder is
Begin Process(input)
Begin
组合逻辑电路——编码器
优先级编码器的实现(接上)
If ( input(0)=‘0’) then y<=“111”;
elsif ( input(1)=‘0’) then y<=“110”; elsif ( input(2)=‘0’) then y<=“101”;
组合逻辑电路——译码器
3-8译码器的描述
Library IEEE; Use IEEE.std_logic_1164.all; Entity decoder_38 is Port ( a, b , c, g1, g2a, g2b: in std_logic; y: out std_logic_vector (7 downto 0) ); End decoder_38; Architecture behav of decoder_38 is Signal indata : std_logic_vector(2 downto 0); Begin 定义indata是三位的位矢量, indata<=c & b & a; 并把c、b、a进行位合并赋值 Process( indata, g1, g2a, g2b) 给信号indata Begin
组合逻辑电路

对于低电平有效信号的逻辑 或,可用正与门实现(正逻辑 与等价于负逻辑或)。若用正 与非门实现,则所得输出Z3 ~ Z0 为 低 电 平 有 效 的 输 出 的 反 码。
由上,可作出相应的电路, 如右图所示。
2. 二—十进制优先编码器 若某优先编码器具有的逻辑功能为:将 I1 ~ I9 九个输入信号分别编
1. 依据实际逻辑问题的因果关系,进行逻辑抽象,列出逻辑真值表; 2. 写出相应的逻辑函数式(逻辑表达式); 3. 化间或变换逻辑函数式(逻辑表达式); 4. 画出逻辑电路图 ; 5. 判别和消除冒险现象。
注意:逻辑函数经过化简,最简的逻辑函数表达式可能具有多样 性,根据这些表达式构成的逻辑电路的形式也具有多样性。这是由于在 简化过程中采用不同的合并项得来的。电路的逻辑功能不因为电路的表 达形式不同而不同,这也为逻辑设计的方案选择提供了灵活性。
3.1 概述
一. 组合逻辑电路的特点 逻辑电路的分类: 依据逻辑功能的特点,逻辑电路可分为组合逻辑电路和时序逻辑电路 两大类。 组合逻辑电路: 组合逻辑电路的输出信号仅取决于该时刻的输入信号状态的组合, 而与电路原来所处的状态无关 。
相应地,在电路结构上: (1)输入输出间不必提供反馈延迟通路, (2)电路中不包含记忆元件。
不利用约束条件,依真值表,可写出相应 的逻辑函数式
利用约束条件,即输入 变量取值的组合仅为真值 表中列出的8种状态,则 可得逻辑函数式
依
可作出由三个或门构成的编码 器电路。
二、优先编码器 优先编码器的特点:允许同时输入两个及两个以上编码信号,但对所
有输入信号按优先顺序排队,当几个输入信号同时出现时,只对其中优 先权最高的一个进行编码。
(2)依优先顺序,第一片无编码输入信号时,才对第二片的编码输入信 号进行编码,因此,可用第一片的输出 YS作第二片的选通输入信号 S。 (3)四位码的最高位采用第一片的扩展输出信号 YEX。
由上,可作出相应的电路, 如右图所示。
2. 二—十进制优先编码器 若某优先编码器具有的逻辑功能为:将 I1 ~ I9 九个输入信号分别编
1. 依据实际逻辑问题的因果关系,进行逻辑抽象,列出逻辑真值表; 2. 写出相应的逻辑函数式(逻辑表达式); 3. 化间或变换逻辑函数式(逻辑表达式); 4. 画出逻辑电路图 ; 5. 判别和消除冒险现象。
注意:逻辑函数经过化简,最简的逻辑函数表达式可能具有多样 性,根据这些表达式构成的逻辑电路的形式也具有多样性。这是由于在 简化过程中采用不同的合并项得来的。电路的逻辑功能不因为电路的表 达形式不同而不同,这也为逻辑设计的方案选择提供了灵活性。
3.1 概述
一. 组合逻辑电路的特点 逻辑电路的分类: 依据逻辑功能的特点,逻辑电路可分为组合逻辑电路和时序逻辑电路 两大类。 组合逻辑电路: 组合逻辑电路的输出信号仅取决于该时刻的输入信号状态的组合, 而与电路原来所处的状态无关 。
相应地,在电路结构上: (1)输入输出间不必提供反馈延迟通路, (2)电路中不包含记忆元件。
不利用约束条件,依真值表,可写出相应 的逻辑函数式
利用约束条件,即输入 变量取值的组合仅为真值 表中列出的8种状态,则 可得逻辑函数式
依
可作出由三个或门构成的编码 器电路。
二、优先编码器 优先编码器的特点:允许同时输入两个及两个以上编码信号,但对所
有输入信号按优先顺序排队,当几个输入信号同时出现时,只对其中优 先权最高的一个进行编码。
(2)依优先顺序,第一片无编码输入信号时,才对第二片的编码输入信 号进行编码,因此,可用第一片的输出 YS作第二片的选通输入信号 S。 (3)四位码的最高位采用第一片的扩展输出信号 YEX。
第三章 组合讲义逻辑电路

0 0 1 0 反1 码输出
01001
0x 0x
xxx xx0
01允11许11编码11 ,
0 1
1 0
1 0
0 0
1 1
0 x x 0 1 1但1无1有效1 编 1 0 1 0 1
0 x 0 1 1 1码1请求1 1
11001
00 111 1111 1 1 1 0 1
01 111 1111 1 1 1 1 0
当 GS=0 , 且 EI=0 时 , 表 示 “ 电 路
FABACBC
3.1.1 组合逻辑电路的概述
第二步:变换
真值表
F = AB+AC+BC
A BC F
第三步:列出真值表。
0 00 0
0 01 0
第四步:确定电路的逻辑功 0 1 0 0
能。
0 11 1
由真值表可知,三个变量输
入A,B,C,只有两个及两
个以上变量取值为1时,输出
1 1
00 01
0 1
才为1。可见电路可实现多数
其它输入取值组合不允许出现,为无关项。
3.2 编码器和译码器
Y 2 I4 I5 I6 I7
Y 1 I2 I3 I6 I7
Y 0 I1 I3 I5 I7
(2)二进制优先编码器( Priority Encoder) 在优先编码器中,允许同时输入两个以上的有效编码请
求信号。当几个输入信号同时出现时,只对其中优先权最 高的一个进行编码。优先级别的高低由设计者根据输入信 号的轻重缓急情况而定。
际逻辑问题,求出实现其逻辑功能的最简单的逻辑电路。
设计步骤: (1)分析设计要求,设置输入输出变量并逻辑赋值; (2)列真值表; (3)写出逻辑表达式,并化简; (4)画逻辑电路图。
01001
0x 0x
xxx xx0
01允11许11编码11 ,
0 1
1 0
1 0
0 0
1 1
0 x x 0 1 1但1无1有效1 编 1 0 1 0 1
0 x 0 1 1 1码1请求1 1
11001
00 111 1111 1 1 1 0 1
01 111 1111 1 1 1 1 0
当 GS=0 , 且 EI=0 时 , 表 示 “ 电 路
FABACBC
3.1.1 组合逻辑电路的概述
第二步:变换
真值表
F = AB+AC+BC
A BC F
第三步:列出真值表。
0 00 0
0 01 0
第四步:确定电路的逻辑功 0 1 0 0
能。
0 11 1
由真值表可知,三个变量输
入A,B,C,只有两个及两
个以上变量取值为1时,输出
1 1
00 01
0 1
才为1。可见电路可实现多数
其它输入取值组合不允许出现,为无关项。
3.2 编码器和译码器
Y 2 I4 I5 I6 I7
Y 1 I2 I3 I6 I7
Y 0 I1 I3 I5 I7
(2)二进制优先编码器( Priority Encoder) 在优先编码器中,允许同时输入两个以上的有效编码请
求信号。当几个输入信号同时出现时,只对其中优先权最 高的一个进行编码。优先级别的高低由设计者根据输入信 号的轻重缓急情况而定。
际逻辑问题,求出实现其逻辑功能的最简单的逻辑电路。
设计步骤: (1)分析设计要求,设置输入输出变量并逻辑赋值; (2)列真值表; (3)写出逻辑表达式,并化简; (4)画逻辑电路图。
第3章 组合逻辑电路

F
&
&
&
&
A
B
C
本例采用的是“真值表法”,真值表法的优点是规整、清晰; 缺点是不方便,尤其当变量较多时十分麻烦。
例 设计一个组合逻辑电路,用于判别以余3码表示的1 位十进制数是否为合数(一个数,如果除了一和他本身还有 别的因数,这样的数叫做合数,与之相对的是质数)。 解 设输入变量为ABCD,输出函数为 F,当ABCD表示 的十进制数为合数 (4 、 6 、 8、 9) 时,输出 F 为 1,否则 F为 0。
毛刺
使用卡诺图判断一个组合逻辑电路是否存在着 竞争冒险的一般步骤是: • 先画出该电路逻辑函数的卡诺图; • 然后在函数卡诺图上画出与表达式中所有乘积项 相对应的卡诺圈; • 如果图中有相切的卡诺圈,则该逻辑电路存在着 竞争冒险。(“0”冒险是1构成的圈,“1”冒险是 0构成的圈。
所谓卡诺圈相切即两个卡诺圈之间存在不被同一卡 诺圈包含的相邻最小项。
产生冒险的原因
A
1
≥1
F=A+A=1 理想情况
以例说明
A A
F 实际情况
造成冒险的原因是由于A和 A到达或门的时间不同。
再举一例 A C B
1 & BC & AC ≥1
A B F=AC+BC C C AC BC F
(分析中略去与门和或门的延时)
产生冒险的原因 : 电路存在由非门产生的互补信 号,且互补信号的状态发生变化 时有可能出现冒险现
有公用项
经变换后,组成电路时可令其共享同一个异或门,从而 使整体得到进一步简化,其逻辑电路图如下图所示。
多数出组合电路达到最简的关键是在函数化简时找出各输 出函数的公用项,使之在逻辑电路中实现对逻辑门的“共享”, 从而达到电路整体结构最简。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
当 ST =0时,若有编码输入(即 I 7 ~ I 0 不全为1),则 Y2 、Y1 、 Y0 按输入优先级 有相应的编码输出,且 Y S =1,YEX =0,表 明本编码器芯片正在编码,不允许低位芯 片编码。
8线--3线优先编码器的扩展:用两片CT74148 扩展为16线—4线优先编码器。
3.2.2 译码器
② Y9 ~ Y0 是译码输出,输出低电平 有效。请读者自行写出 Y9 ~ Y0 的表达式。
③若将地址输入端A3改作选通输入端, 则器件实际完成3线-8线译码器功能,此 Y输出端闲置不用,当 时 Y , A3=1时, 9 8 译码器输出 ~Y7 全部为无效电平 1,当 Y0 A3=0时,译码器输出 ~ Y7 由AY A 决定。 2A 01 0
【例3-2 】试分析图3-3(a)所示逻辑电 路的逻辑功能,要求写出输出表达式,列 出真值表,总结功能。
解 第1步: 逐级写逻辑函数表达式
第2步:简化为最简与或表达式
第3步:列出真值表如表3-2所示
输 入 输 出
A
0 0 0 0 1 1 1 1
B
0 0 1 1 0 0 1 1
C
0 1 0 1 0 1 0 1
0 0 0 1
输
P 0 0
出
0
0 1 1 1
1
1 0 0 1
0
1 0 1 0
0
1 0 1 1
1
1
1
1
(2)根据真值表写出输出的最简与— 或表达式,并变换成与—非表达式: 利用图3-4所示卡诺图,求输出P的最 简与—或表达式:P = AB+BC+AC 对上式两
次求反,变换表达式为与非—与非表达式
图3-4
CT74139的功能是: ①A1A0是两位二进制代码输入,也叫 Y0 两位地址输入端, Y3 ~ 是译码输出, 低电平有效;当地址A1A0 =00时,仅选中 一个对应的输出 Y0 =0,其余输出均为1。
② ST 是选通输入端,当 ST =1时,译 码器输出 Y3 ~ Y0 全部为1;当 ST =0时, 允许译码。可见利用 ST 端可以控制译码器 工作与否。
②编码输入 I 7 ~ I 0 中,按脚标数字 大小设置优先级, I 7 的优先级最高,依次 降低, I 0 的优先级最低。
③控制输入端(选通输入端) ST 的功能 是:只有在 ST =0的前提下,编码器才能正 常编码,若 ST =1,则表明该芯片未被选中, 编码输出 、 1; Y0 Y2 和 Y1 全部为
【例3-3 】表决提案时多数赞成,则提 案通过,试用与非门设计一个三人表决器 电路。
解
(1)定义输入、输出变量,并列真值 表: 设输入变量为A、B、C分别表示三个参
与表决者是否赞成; 设输出变量为P表示提案是否通过, 根据题意可列出真值表,如表3-3所示。
表3-3
输
A 0 0
例3-3的真值表
入 B C
本例中,若要求用或非门和与或非门 来设计表决电路,则需要从卡诺图得到最 简或与表达式,再适当变换表达式即可。
用或非门实现的逻辑函数为
图3-6
用或非门、与或非门实现例3-3电路
3.2 常见中规模组合逻辑电路
3.2.1 编码器
用二进制数码表示特定信息的过程称为编码; 完成编码功能的电路称为编码器。
3. 显示译码器CT7448
3. 显示译码器CT7448
七段显示译码器CT7448的功能如下。 ①输出高电平有效,用以驱动共阴极 显示器。对输入代码0000的译码条件是: LT 和 RBI 同时等于1,而对其他输入代码则 仅要求 LT =1,这时候,译码器各段a~g 输出电平是由输入BCD码决定的,并且满足 显示字形的要求。
“译码”是编码的逆过程,即将 输入的二进制代码还原成事先规定的, 具有特定意义的输出信号或另一种形 式的代码,是将二进制代码的原意 “翻译”出来的过程。能够完成译码 功能的电路称为译码器。常见的译码 器有二进制译码器、码制译码器和显 示译码器等。
1. 二进制译码器
(1)双二进制译码器CT74139
3. 分析举例
【例3-1 】分析图3-2所示电路的逻辑功能。
解:为方便地逐级写出表达式,可先在 图3-2中标注中间输出变量P1,P2和 P3;
第一步 逐级写逻辑函数表达式
第二步
简化为最简与或表达式
第三步 列真值表。根据最简与或表 达式,列出真值表,如表3-1所示。
第四步 概括逻辑功能。由真值表可 以归纳出:当输入A、B、C中的1的个数小 于两个时,输出P为1,否则为0。
④选通输出端 Y S 和YEX 扩展端主要用 于功能扩展,其功能是:当 ST =1时,无论 编码输入 I 7 ~ I 0 为何值,则始终有 Y S = YEX =1,表明本编码器芯片不接收编码输入。
当 ST =0时,若无编码输入(即 I 7 ~ I 0 全部为1),则输出 Y2 、 Y1 和 Y0 全部为1, Y S, 且 =0 =1 ,表明本编码器芯片可接 Y EX 收编码输入,但不编码,可允许低位芯片 编码。
组合逻辑电路的分析,通常按以下步 骤进行:
(1)由给定组合逻辑电路的逻辑图, 从输入端开始,依据各逻辑门的逻辑 功能逐级写出逻辑函数表达式,直至 写出输出端的逻辑函数表达式;
(2)将已得到的输出函数表达式简化成最 简与或表达式,或视具体情况变换成其它 适当的形式;
(3)根据最简与或表达式列出真值表; (4)根据真值表,进行分析并概括出给 定组合逻辑电路的逻辑功能。
3.2.3 数据选择器和数据分配 器
1. 双4选1数据选择器CC14539
其功能如下。
(b)国标逻辑符号
3-28
(a)逻辑图
①ST1(ST2)=0时,该芯片被选中。此 时,在数据选择器地址端A1,A0的选择下, 分别选中4路输入数据中对应的1路数据到输 出端。实现正常数据选择功能(A1A0=00,选中 D0,A1A0=01,选中D1,A1A0=10,选中D2, A1A0=11,选中D3)。
3.超前进位加法器 由4位超前进位全加器逻辑电路可知,各位进位信号 Y2 、
Y3 、Y4 只与两个加数有关,是并行产生的,都只需要经历一级
② 灭灯输入BI/RBO。BI/RBO是特殊 控制端,可以作为输入,也可以作为输出。 当BI/RBO作为输入使用,且BI=0时,无 论其他输入端是什么电平,所有各段输出 a~g均为0
③ 试灯输入LT。当LT=0时,BI/RBO 是输出端,且为1,此时无论其他输入端是 什么状态,所有各段输出a~g均为1,显示 字形8。该输入端常用于检查CT7448本身及
Байду номын сангаас
ST1(ST2)=1时,该芯片未被选中。 此时,输出Y1(Y2)=0,数据选择器不工 作。可见,控制输入端(选通输入端) ST1 (ST2
②ST1(ST2)=0时,输出Y的逻辑函 数表达式为
2. 8选1数据选择器CT74151
图3-29
8选1数据选择器CT74151
其功能如下。 ① ST=0时,该芯片被选中。此时, 在数据选择器地址端A2,A1,A0的选择下, 分别选中8路输入数据中对应的1路数据到 输出端。实现正常数据选择功能。
例3-3卡诺图
(3)画逻辑图:
根据得到的与非—与非表达式,画出 逻辑图如图3-5所示。
图3-5
例3-3逻辑图
(4)实验验证:
按图3-5所示搭接好电路,再根据真值 表逐行设置输入变量A、B、C,并测量对应 的输出P值,若完全吻合,则得以验证。因 本例无特殊要求,选用TTL器件或CMOS器件 均可。到此,设计完成。
1. 8421BCD编码器
用四位二进制数码表示一位十进制数称为 二—十进制编码;完成此编码功能的电路称为 二—十进制编码器。
图3-15
8421BCD编码器
2. 8线-3线优先编码器CT74148
图3-16
8线-3线优先编码器CT74148
CT74148的功能特点: ①编码输入 I 7 ~ I 0 低电平有效,编 码输出 Y2 ~ Y0 为反码输出;
F0
CI
B3 A3
B2 A 2
B1 A1
B0 A0
图4-1-4 4位逐位进位加法器
由于每一位相加结果,必须等到低一位的进位产生以后才 能建立,因此这种结构也叫做逐位进位加法器。
串行进位加法器的特点是结构简单,最大缺点是运算速度
慢。为了提高运算速度,必须减小或消除由于进位信号逐位传 递所消耗的时间,采用超前进位加法器。
2. 组合逻辑电路设计步骤
组合逻辑电路设计的一般步骤如下:
(1)在分析设计任务对逻辑功能要求基础上,准确 定义输入逻辑变量和输出逻辑变量,并列出真值 表; (2)根据真值表写出逻辑函数表达式,并将其按设 计要求化简和变换成某种最简形式; (3)根据最简表达式,画出逻辑图; (4)实验验证。
3. 设计举例
③根据功能表3-9,可以很方便地写 出输出 Y3 ~ Y0 的表达式:
Y 3 A 1A 0 ST m 3 Y 2 A 1A 0 ST m 2 Y 1 A 1A 0 ST m 1 Y 0 A 1A 0 ST m 0
(2) 二进制译码器CT74138
译 码 器 的 扩 展
ST=1时,该芯片未被选中。此时,同 相输出Y=0,反相输出W=1,数据选择器 不工作。可见,控制输入端(选择输入端 ST
② ST=0时,输出逻辑函数表达式为
或可写成
4. 数据分配器
3.2.4 运算电路(加法器)
1. 半加器
两个1位二进制数相加,若只考虑了两 个加数本身,而没有考虑由低位来的进位, 称为半加,实现半加运算的逻辑电路称为 半加器(half adder)。
第 3章 组合逻辑电路
数字逻辑电路按其逻辑功能的不同特 点一般可分为两类:组合逻辑电路和时序 逻辑电路 。