实验三-8线3线优先编码器

合集下载

实验三 3-8译码器的功能测试及仿真

实验三  3-8译码器的功能测试及仿真

实验三3-8译码器功能测试及仿真一、实验目的1、掌握中规模集成3-8译码器的逻辑功能和使用方法。

2、进一步掌握VHDL语言的设计。

二、预习要求复习有关译码器的原理。

三、实验仪器和设备1.数字电子技术实验台1台2.数字万用表1块3.导线若干4.MUX PLUSII软件5.74LS138集成块若干四、实验原理译码器是一个多输入、多输出的组合逻辑电路。

它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。

译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。

不同的功能可选用不同种类的译码器。

译码器分为通用译码器和显示译码器两大类。

前者又分为变量译码器和代码变换译码器。

1.变量译码器(又称二进制译码器)用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。

若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。

而每一个输出所代表的函数对应于n个输入变量的最小项。

以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。

其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。

下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。

当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

3-8线译码器74LS138逻辑图及引脚排列图74LS138功能表输入输出S12S+3S A2A1A00Y1Y2Y3Y4Y5Y6Y7Y1 0 0 0 0 0 1 1 1 1 1 1 11 0 0 0 1 1 0 1 1 1 1 1 11 0 0 1 0 1 1 0 1 1 1 1 11 0 0 1 1 1 1 1 0 1 1 1 11 0 1 0 0 1 1 1 1 0 1 1 11 0 1 0 1 1 1 1 1 1 0 1 11 0 1 1 0 1 1 1 1 1 1 0 11 0 1 1 1 1 1 1 1 1 1 1 00 ×××× 1 1 1 1 1 1 1 1× 1 ××× 1 1 1 1 1 1 1 1二进制译码器实际上也是负脉冲输出的脉冲分配器。

编码器模电实验报告

编码器模电实验报告

一、实验目的1. 理解编码器的工作原理及其在数字电路中的应用。

2. 掌握编码器的基本电路结构及其性能指标。

3. 通过实验,验证编码器的功能,提高对数字电路实验操作和数据分析的能力。

二、实验原理编码器是一种将模拟信号或数字信号转换成数字信号的设备。

在数字电路中,编码器广泛应用于数据传输、信号处理等领域。

本实验主要研究8位优先编码器。

8位优先编码器具有8个输入端(I0-I7)和3个输出端(Y2、Y1、Y0)。

当输入端中有一个或多个高电平时,编码器将输出对应的二进制编码。

在8位优先编码器中,输入端I0具有最高优先级,I7具有最低优先级。

三、实验器材1. 实验台2. 8位优先编码器芯片(如74LS148)3. 示波器4. 函数信号发生器5. 逻辑分析仪6. 万用表7. 连接线四、实验步骤1. 搭建实验电路:根据实验电路图,将8位优先编码器芯片、示波器、函数信号发生器、逻辑分析仪等实验器材连接好。

2. 输入信号测试:使用函数信号发生器产生一系列输入信号,观察输入信号的变化。

3. 输出信号观察:使用示波器或逻辑分析仪观察编码器的输出信号。

4. 数据分析:根据实验结果,分析编码器的功能、性能指标等。

五、实验结果与分析1. 实验结果:(1)当输入端I0为高电平时,输出端Y2、Y1、Y0分别为110,表示编码器输出二进制数110。

(2)当输入端I1为高电平时,输出端Y2、Y1、Y0分别为101,表示编码器输出二进制数101。

(3)以此类推,当输入端I7为高电平时,输出端Y2、Y1、Y0分别为000,表示编码器输出二进制数000。

2. 数据分析:(1)实验结果表明,8位优先编码器能够将输入端的高电平信号转换为对应的二进制编码。

(2)编码器具有优先级功能,当多个输入端同时为高电平时,编码器只输出优先级最高的输入端编码。

(3)实验过程中,观察到的输出信号波形与理论分析一致,验证了编码器的功能。

六、实验结论1. 通过本次实验,掌握了8位优先编码器的工作原理及其在数字电路中的应用。

数字电子技术 实验报告

数字电子技术 实验报告

实验一组合逻辑电路设计与分析1.实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。

2.实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。

根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。

图1-1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进行设计。

图1-2 组合逻辑电路的设计步骤3.实验电路及步骤(1)利用逻辑转换仪对已知逻辑电路进行分析。

a.按图1-3所示连接电路。

b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-4所示结果。

观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。

因此这是一个四位输入信号的奇偶校验电路。

图1-4 经分析得到的真值表和表达式(2)根据要求利用逻辑转换仪进行逻辑电路的设计。

a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。

为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。

b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。

因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。

图1-5 经分析得到的真值表(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到最简化表达式AC+AB+BC。

4.实验心得通过本次实验的学习,我们复习了数电课本关于组合逻辑电路分析与设计的相关知识,掌握了逻辑转换仪的功能及其使用方法。

编码器与译码器实验报告

编码器与译码器实验报告

本科学生设计性实验报告
学号124100158 姓名颜洪毅
学院信息学院专业、班级计算机科学与技术
实验课程名称数字逻辑与数字系统
教师及职称王坤
开课学期2013 至2014 学年第一学期
填报时间2013 年10 月20 日
云南师范大学教务处编印
3.实验设备及材料
1、数字电路实验台1台
2、集成电路芯片
74LS148(8-3优先编码器) 1片
74LS138(3-8译码器) 1片
74LS48(七段数码管译码器) 1片
自选芯片若干
4.实验方法步骤及注意事项
(1)、运用数字逻辑的基本原理,选用相应材料连接各芯片功能测试原理图与简易
呼叫器的原理图。

(2)、参照设计好的电路图,完成电路接线。

(3)、根据设计要求完成电路逻辑功能与数据的验证。

5.实验数据处理方法
将所得数据列表处理,对比实验结果。

6.参考文献

教师对实验设计方案的意见
签名:
年月日1.实验现象与结果
74LS138
74LS148
74LS47
呼叫器
2.对实验现象、实验结果的分析及其结论
实验结果符合各芯片逻辑功能特点
1.本次实验成败及其原因分析
本次实验很成功!
1、实验仪器与器材均正常工作且无损伤;
2、实验线路连接正确;
3、正确的实验操作。

2.本实验的关键环节及改进措施
关键环节:电路原理图的构建
指导教师评语及评分:
签名: 年月日。

vhdl实验报告

vhdl实验报告

专用集成电路实验报告13050Z011305024237X德文实验一开发平台软件安装与认知实验实验内容1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。

下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。

2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。

源程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-- Unment the following lines to use the declarations that are-- provided for instantiating Xilinx primitive ponents.--library UNISIM;--use UNISIM.Vponents.all;entity ls74138 isPort ( g1 : in std_logic;g2 : in std_logic;inp : in std_logic_vector(2 downto 0);y : out std_logic_vector(7 downto 0));end ls74138;architecture Behavioral of ls74138 isbeginprocess(g1,g2,inp)beginif((g1 and g2)='1') thencase inp iswhen "000"=>y<="00000001";when "001"=>y<="00000010";when "010"=>y<="00000100";when "011"=>y<="00001000";when "100"=>y<="00010000";when "101"=>y<="00100000";when "110"=>y<="01000000";when "111"=>y<="10000000";when others=>y<="00000000";end case;elsey<="00000000";end if;end process;end Behavioral;波形文件:生成元器件及连接电路思考:有程序可以看出,定义了三个输入端,一个输出端。

优先8_3编码器

优先8_3编码器

8_3优先编码器详细设计版本 1.0日期:2014年3月8日版本信息版本日期描述作者V1.08/3/20141设计目标1.1功能定义本文描述8—3线优先编码器的总体设计方案。

通过3位二进制对8位二进制进行编码。

1.2引脚描述1.2.1 8—3线优先编码器接口定义表2.2 8—3线优先编码器接口信号引脚名称类型位宽功能描述In I8要求其输入的8bit数据。

1.2.2 32bit 前导零单元与后一级的接口定义表2.2 8—3线优先编码器接口信号引脚名称类型位宽功能描述out O3要求其输出3bit数据。

2 模块设计module code8_3(in,out)input [7:0] in;output [2:0] out;wire [7:0] in;reg [2:0] out;always @(in)beginif(in[7]==1)out=3’111;else if(in[6]==1)out=3’b110;else if(in[5]==1)out=3’101;else if(in[4]==1)out=3’b100;else if(in[3]==1)out=3’b011;else if(in[2]==1)out=3’b010;else if(in[1]==1)out=3’b001;else if(in[0]==1)out=3’b000;default:out=3’bx;endendmodule3测试本单元电路控制逻辑采用systemverilog断言描述状态信息测试,数据通路部分用采用sysetemverilog随机验证的方法,并结合覆盖率检测,做到100%验证。

4设计开发环境语言级设计:Verilog综合工具:Synopsys physical compilerFPGA设计和仿真工具:ISE13.2,synopsys VCS布局和布线工具:appllo ,模拟设计和仿真工具: hspice,寄生参数提取和仿真工具: star_sim RC5设计开发计划序号时间工作内容说明1。

3-8译码器课程设计报告

3-8译码器课程设计报告

EDA技术实验报告—3-8译码器的设计一.实验目的1.通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。

2.掌握组合逻辑电路的静态测试方法。

3.初步了解QUARTUSⅡ软件的基本操作和应用。

4.初步了解可编程逻辑器件的设计全过程。

二.实验原理3-8译码器的三输入,八输出。

输入信号N用二进制表示,对应的输出信号N输出高电平时表示有信号产生,而其它则为低电平表示无信号产生。

其真值表如下图所示:当使能端指示输入信号无效或不用对当前的信号进行译码时,输出端全为高电平,表示任何信号无效。

三.实验内容用三个拨动开关来表示三八译码器的三个输入(A,B,C),用八个LED来表示三八译码器的八个输出(D0-D7)。

通过与实验箱的FPGA接口相连,来验证真值表中的内容。

表1-2拨动开关与FPGA管脚连接表表1-3LED 灯与FPGA管脚连接表(当FPGA与其对应的接口为高电平时,LED会发亮)四.实验歩骤1.建立工程文件2.建立图形设计软件(1)将要选择的器件符号放置在图形编辑器的工作区域,用正交节点工具将原件安装起来,然后定义端口的名称。

结果如下图:3.编译前设置(1)选择目标芯片(2)选择目标芯片的引脚状态4.对设计文件进行编译五.管脚的分配根据表1-2和1-3的数据进行管脚的设置1六.对文件进行仿真按下Report按钮观察仿真结果,如下:6.从设计文件到目标器件的加载七.实验现象以及结果文件加载到目标器件后,拨动拨动开关,LED灯会按照真值表对应的灯点亮。

八.实验心得通过本次实验,加深了自己对EDA技术的理解并提高了操作能力。

但是,在实验中仍然遇到了很多困难,还需提高。

实验三 3-8译码器的功能测试及仿真

实验三  3-8译码器的功能测试及仿真

实验三3-8译码器功能测试及仿真一、实验目的1、掌握中规模集成3-8译码器的逻辑功能和使用方法。

2、进一步掌握VHDL语言的设计。

二、预习要求复习有关译码器的原理。

三、实验仪器和设备1.数字电子技术实验台1台2.数字万用表1块3.导线若干4.MUX PLUSII软件5.74LS138集成块若干四、实验原理译码器是一个多输入、多输出的组合逻辑电路。

它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。

译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。

不同的功能可选用不同种类的译码器。

译码器分为通用译码器和显示译码器两大类。

前者又分为变量译码器和代码变换译码器。

1.变量译码器(又称二进制译码器)用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。

若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。

而每一个输出所代表的函数对应于n个输入变量的最小项。

以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。

其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。

下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。

当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

3-8线译码器74LS138逻辑图及引脚排列图74LS138功能表输入输出S12S+3S A2A1A00Y1Y2Y3Y4Y5Y6Y7Y1 0 0 0 0 0 1 1 1 1 1 1 11 0 0 0 1 1 0 1 1 1 1 1 11 0 0 1 0 1 1 0 1 1 1 1 11 0 0 1 1 1 1 1 0 1 1 1 11 0 1 0 0 1 1 1 1 0 1 1 11 0 1 0 1 1 1 1 1 1 0 1 11 0 1 1 0 1 1 1 1 1 1 0 11 0 1 1 1 1 1 1 1 1 1 1 00 ×××× 1 1 1 1 1 1 1 1× 1 ××× 1 1 1 1 1 1 1 1二进制译码器实际上也是负脉冲输出的脉冲分配器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

姓名学号实验日期成绩XXX XXXXXXX 年月日
实验三基本组合逻辑电路的PLD实现(2)
●实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器
●实验目的:
1.熟悉用可编程器件实现基本组合逻辑电路的方法。

2.进一步熟悉MAX+plus II软件的使用方法,熟悉原理图输入法和VerilogHDL输入
法,进一步熟悉如何编译,器件选择,管脚分配和仿真。

●预习要求:
1.回顾数字电路中关于优先编码器的相关知识。

●实验说明:
1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言
输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语
言。

2.优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优
先等级对同时输入的多路信号中优先级最高的一路进行编码。

3.8线-3线优先编码器的真值表如下图所示:
●实验内容与步骤:
1.新建一个属于自己的工程目录。

2.新建一张电路图文档,调用8线-3线优先编码器芯片74148(注意其均是低电平有
效),完成设计。

3.对电路图进行编译,仿真。

4.用VerilogHDL语言方式编写一个8线-3线优先编码器。

5.完成编译,管脚分配,并对模块进行仿真。

●实验报告要求:
1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。

2.将代码关键位置写上相应注释(可用中文)。

3.对仿真波形截图,贴到实验报告中。

●实验图表与数据:
1. 8线-3线优先编码器电路图:
2. 8线-3线优先编码器电路仿真波形:
3 .8线-3线优先编码器Verilog代码:
4. 8线-3线优先编码器Verilog代码仿真波形:。

相关文档
最新文档