MODELSIM经典教程
modelsim精选入门教程

FPGA的设计过程中,modelsin是我们最常用的工具之一,大部分FPGA的初学者对Modelsim的使用不熟练,也只能使用最简单的一部分,其实它的功能很强打,在这里我就modelsim的使用写个详细文档,希望对初学者有帮助。
共13部分,今天先写第一部分,每周写一部分,大家要有耐心,嘿嘿。
一、概述ModelSim能够对VHDL、Verilog、SystemVerilog、SystemC和混合语言设计的仿真和验证。
有三种仿真模式(1)基本仿真流程,这是最简单的仿真方法,不用建立工程创建work库:打开modelsim,在file菜单下,点new-libraryWork 是modelsim 默认的库,也可以改成自己有含义的名字, 也可以通过输入命令建立库 如在modelsim 〉 vlib wwww 回车,则建立了wwww 库编译文件建立库完成后,你该把你的设计文件在库里面进行编译,方法有菜单操作和命令输入两种菜单操作:在copile 菜单下点compile 选项,或 在modelsim 〉下输入 vcom ,回车。
运行仿真编译完成后,唤醒testbench文件进行仿真,在菜单simulate菜单下点击start simulate….按钮或在命令行输入Vsim命令,回车在菜单栏,点击view- Debug Windows –Wave,打开wave窗口,添加需观测的信号进入波形文件。
可以右键添加,也可以用鼠标直接拖进wave中运行输入run 100ns回车或simulate—run—run 100ns 或观看仿真波形(2)通过建立项目进行仿真仿真流程如下图首先建立一个工程添加文件编译文件菜单操作:在copile菜单下点compile all选项,或在modelsim〉下输入vcom ,回车在工程目录下编译文件运行仿真,此过程同前面相同添加信号进入波形文件运行上面三过程均与前面相同。
(3)多个库的仿真流程在modelsim仿真中,也可以使用多个库进行仿真,仿真流程如下。
QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10仿真(ModelSim)入门教程平台软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition内容1 设计流程使用ModelSim仿真的基本流程为:图1.1 使用ModelSim仿真的基本流程2 开始2.1 新建工程打开ModelSim后,其画面如图2.1所示。
图2.1 ModelSim画面1. 选择File>New>Preject创建一个新工程。
打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。
一般情况下,设定Default Library Name为work。
指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。
该对话框如图2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。
图2.2 创建工程的对话框2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。
图2.3 输入工程信息当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。
图2.4 Project标签3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。
图2.5 在工程中,添加新项目2.2 在工程中,添加新项目在Add Items to the Project对话框中,包括以下选项:∙Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件∙Add Existing File——添加一个已存在的文件∙Create Simulation——创建指定源文件和仿真选项的仿真配置∙Create New Folder——创建一个新的组织文件夹1. 单击Create New File。
打开图2.6所示窗口。
MODELSIM经典教程

Liyong xjtu’soc center
工程文件test.mpf
1)当下次再次打开这个工程时, modelsim从mpf文件中读取关于库 的定位、启动文件的定位、 ModelSim其他缺省设定等信息 2)在建立工程时,modelsim从初始 化文件 modelsim.ini中获取各种信 息,包括[Library] [vcom] [vlog] [vsim] [lmc],因此有必要修改 modelsim.ini文件以改变一些缺省 信息。 3)缺省modelsim.ini文件存在 modelsim的安装目录下,为只读 文件。 4)modelsim.ini文件的搜索顺序为 : a)环境变量所指的文件架 b)当前的工作目录 c)modelsim的安装目录
Wave窗口操作
通过在wave窗口可以通过菜单、工具栏和在选中信号后 点右键来完成相应的调试工作。 19
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Modelsim窗口命令记录
20
Institute of Artificial Intelligence and Robotics
22
Institute of Artificial Intelligence and Robotics
• • • • • • • • • • • • • • • • • • • [Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee verilog = $MODEL_TECH/../verilog std_developerskit = $MODEL_TECH/.ቤተ መጻሕፍቲ ባይዱ/std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib alter_lpm = D:/installed/quartus/eda/sim_lib/modelsim/alter_lpm work = work [vcom] ; Turn on VHDL-1993 as the default. Default is off (VHDL1987). ; VHDL93 = 1. ; RangeCheck = 1 [vlog] ; Turn off inclusion of debugging info within design units. [vsim] Veriuser = novas.dll ; Default run length RunLength = 100
《电子设计自动化》实验手册modelsim篇

1.Modelsim使用教程
在file name中填写fenpin,add file as type选择Verilog就行,如果你想用别的语 言编写,选择相对应的语言类型就行。点ok。
1.Modelsim使用教程
将波形 保存为图片 。 1. 可以直 接截图保存 图片; 2. 在波形界面点击 fileexport-image,输入保存的名字即可保存。
下面的几个实验有的给出了测试的代码,有的没有给出。因此,为了完成这几个实验, 请同学们自主完成测试代码的编写,进而完成编译、仿真,最终获得正确的仿真波形。
5)其它:10分;总体映象分,态度是否认真,实验感想等是否真实深刻。
6)还需要提交每次实验的电子材料和期末测试的电子材料。文件夹命名规则:学号+ 姓名+实验*(期末),文件夹下需要有Verilog代码,testbench代码,仿真波形 .wlf 和.do文件,波形export图片。
实验1:计数器
1.Modelsim使用教程
提示有错误,tb文件后面的问号变成差号。双击transcript界面的红色字体,弹出一 个界面,显示错误的具体位置及提示。
1.Modelsim使用教程
该错误是include包含文件应该用英文的双引号,而不是中文的双引号。或者注释掉 改行,保存后再重新编译。tb文件后面的差号变成对号,表示编译通过。
实验2:全加器
module adder4(cout,sum,ina,inb,cin); output[3:0] sum; output cout; input[3:0] ina,inb; input cin; assign {cout,sum}=ina+inb+cin; endmodule
ModelSim10.1c简明教程1

其它选项 使用默认
保存路径
• 4、填写文件 名并选择文
件类型。
– 在这里是建 立文件,所 以选择 Create New File。如果已 用其他编辑 器写好了代 码,点击 Add Existing File。
新建文件 交互区变化
添加已有文件 文件名
文件类型
默认选择top
• 5、刚才页面点 击ok之后如右 图所示:
of AND_2.v was successful.
编译当前文件
编译所有文件
这段代码这样 写妥当吗
关键字高亮显示 编译成功
9、模拟验证
单击打开模拟 界面如图
双击打开模拟界面
• 10、添加验 证波形
– 如右图, 右键单击 弹出菜单 ,在里面 选择Add Wave,即 打开波形 窗口。
• 11、施加激 励
2012、10、09
• 6、双击文件名 即可进入编辑 界面。
双击打开编辑器 开始编辑本文档
• 7、双击文件 名后,如右 图所示。
– 左边为工程 和库管理窗 口,右边最 大空间区即 为文本编辑 区。
在此编写代码 1表示第一行。
• 8、输入代码
– 输入完成之 后记得保存
– 然后编译, 没有语法错 误的话,交 互区会有绿 色的字提示 :# Compile
– 具体激励见 下面交互窗 口。
– 可以把波形 化波形图
施加激励注意命令中的空格。第一条 命令表示在0nm时刻clk为低电平在 10nm时为高电平周期为20nm、占空 比为50%的方波。
波形全图
谢谢观赏
Make Presentation much more fun
左边为工程和库管理窗口右边最大空间区即为文本编辑8输入代码编译当前文件编译所有文件输入完成之后记得保存然后编译没有语法错误的话交互区会有绿色的字提示compile这段代码这样写妥当吗
modelsim使用教程

modelsim使用教程ModelSim是一款常用的硬件描述语言(HDL)仿真工具,本教程将向您介绍如何使用ModelSim进行仿真。
步骤1:安装ModelSim首先,您需要下载和安装ModelSim软件。
在您的电脑上找到安装程序并按照提示进行安装。
步骤2:创建工程打开ModelSim软件,点击"File"菜单中的"New",然后选择"Project"。
在弹出的对话框中,选择工程的存储位置,并为工程命名。
点击"OK"完成工程创建。
步骤3:添加设计文件在ModelSim的工程窗口中,右键点击"Design"文件夹,选择"Add Existing File"。
然后选择包含您的设计文件的目录,并将其添加到工程中。
步骤4:配置仿真设置在工程窗口中,右键点击"Design"文件夹,选择"Properties"。
在弹出的对话框中,选择"Simulation"选项卡。
在"Top level entity"字段中,选择您的设计的顶层模块。
点击"Apply"和"OK"保存设置。
步骤5:运行仿真在ModelSim的工具栏中,找到"Simulate"按钮,点击并选择"Start Simulation"。
这将打开仿真窗口。
在仿真窗口中,您可以使用不同的命令来控制和观察设计的行为。
步骤6:查看仿真结果您可以在仿真窗口中查看信号波形、调试设计并分析仿真结果。
在仿真窗口的菜单栏中,您可以找到一些常用的查看和分析工具,如波形浏览器、信号分析器等。
步骤7:结束仿真当您完成仿真时,可以选择在仿真窗口的菜单栏中找到"Simulate"按钮,并选择"End Simulation"以结束仿真。
modelsim使用技巧

modelsim使用技巧ModelSim是一款常用的仿真工具,用于学习、开发和测试各种数字电路和系统设计。
它提供了大量的功能,可以帮助我们更好地进行仿真、调试和性能优化。
但是,对于初学者来说,熟练使用ModelSim可能需要一些时间和实践。
在本文中,我们将介绍一些ModelSim的使用技巧,以帮助读者更好地掌握这个工具。
一、基本操作1.创建工程在ModelSim中,创建工程是第一步。
可以通过File -> New -> Project来创建一个新的工程。
在工程创建过程中,需要指定工作目录、项目名称和工具链等信息。
在创建工程后,可以右键单击仿真文件夹,并选择添加到工程来添加设计文件。
2.添加仿真文件在ModelSim中,添加仿真文件非常简单。
可以将文件拖放到仿真文件夹、使用File -> Add to Project选项或使用命令行方式添加文件。
一旦添加了仿真文件,可以使用filelist命令查看所有添加的文件。
3.编译设计在ModelSim中,编译由两个阶段组成。
第一阶段称为分析阶段,在此阶段中,设计的各个模块被分析、组合并建立数据结构。
第二阶段称为综合阶段,在此阶段中,数据结构被综合成一个整体,并生成仿真网表。
可以通过compile option来指定编译选项,比如-v库等。
4.运行仿真在ModelSim中,可以通过三种方式来运行仿真。
第一种是在命令行中使用run命令,第二种是通过菜单和工具栏选项来启动仿真,第三种是使用脚本方式启动仿真。
在仿真过程中,可以使用wave、add wave、force、release等命令来控制信号的行为。
二、ModelSim高级技巧1.波形窗口波形窗口是ModelSim中最有用的窗口之一。
可以使用Add Wave按钮打开该窗口。
在波形窗口中,可以查看所有信号的变化情况。
可以使用full view、zoom in、zoom out等选项来放大或缩小波形窗口。
Modelsim中文教程

Configurations are compiled last
27
3
编译源代码(Verilog) 编译源代码
ModelSim/VHDL 或者 ModelSim/Verilog
– OEM
ModelSim/LNL
– 许可 Verilog 或者 VHDL,但是不同时许可
ModelSim/PLUS
– 设计者能立刻混合仿真Verilog 和 VHDL
无法显示图像.计算机可能没有足够的内存以打开该图像,也可能是该图像已损坏.请重新启动计算机,然后重新打开该文件.如果仍然显示红色 "x",则可能需要删除该图像,然后重新将其插入.
ModelSim/SE
– 首要的版本 – PLUS的所有功能连同附加功能
7
ModelSim OEM 功能
提供完全的标准
– – – – – – '87 VHDL '93 VHDL IEEE 1364-'95 Verilog SDF 1.0 - 3.0 VITAL 2.2b VITAL '95
启动仿真器
指定 SDF文件 文件
使用定时值的等级的 类型 (如果不是顶级 如果不是顶级) 如果不是顶级
34
vsim 命令的参数
参数
– -t <time_unit> 指定仿真的时间分辨率 单位可以是{fs, ps, ns, ms, sec, min, hr} 如果用了 Verilog的 'timescale指令, 将使用整个设计中的最小 的时间精度 可选项(缺省是 ns) – -sdfmin | -sdftyp | -sdfmax <instance>=<sdf_filename> 注释SDF文件 可选项 使用实例名也是可选项; 如果没有使用, SDF用于顶级
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Library browser
GUI) 从主菜单里面: design ->library browser
13
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
工程文件test.mpf
1)当下次再次打开这个工程时, modelsim从mpf文件中读取关于库 的定位、启动文件的定位、 ModelSim其他缺省设定等信息 2)在建立工程时,modelsim从初始 化文件 modelsim.ini中获取各种信 息,包括[Library] [vcom] [vlog] [vsim] [lmc],因此有必要修改 modelsim.ini文件以改变一些缺省 信息。 3)缺省modelsim.ini文件存在 modelsim的安装目录下,为只读 文件。 4)modelsim.ini文件的搜索顺序为 : a)环境变量所指的文件架 b)当前的工作目录 c)modelsim的安装目录
8
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
ModelSim实现方法
• 交互式的命令行 (Cmd)
– 唯一的界面是控制台的命令行, 没有用户界面
• 图形用户界面 (GUI)
– 能接受菜单输入和命令行输入
23
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
工程文件test.mpf(续)
; Maximum iterations that can be run without advancing simulation time IterationLimit = 5000 License = license.dat ; VSIM Startup command ; Startup = do startup.do ; File for saving command transcript TranscriptFile = transcript ; File for saving command history CommandHistory = cmdhist.do. [lmc] ; ModelSim's interface to Logic Modeling's SmartModel SWIFT software libsm = $MODEL_TECH/libsm.sl [project] Project_Version = 1 Cur_Top_DUs = work.test
Institute of Artificial Intelligence and Robotics
ModelSim详解
李永
西安交大SOC设计中心
2004.8
1
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
10
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
1 Ö 建立ModelSim工程
GUI) 从主菜单里面: flie ->new -> project
11
Institute of Artificial Intelligence and Robotics
• Modesim GUI的基本仿真流程 • Modelsim使用中一些问题讨论
1)命令行模式和批处理模式 2)建库 3)vcd 格式文件输出 4)时序仿真 5)PLI函数与debussy支持
• Debussy 工具介绍
Institute of Artificial Intelligence and Robotics
ModelSim 产品
• ModelSim/VHDL 或者 ModelSim/Verilog
– OEM
• ModelSim/LNL
– 许可 Verilog 或者 VHDL,但是不同时许可
• ModelSim/PLUS
– 设计者能立刻混合仿真Verilog 和 VHDL
• ModelSim/SE
– 首要的版本 – PLUS的所有功能连同附加功能
Liyong xjtu’soc center
指定ModelSim 工作库
1)指定工程名 2)指定工程目录 3)指定工作库
库的两个类型 Working (缺省值 work) 包含当前被编译的设计单元 编译前必须建立一个working库 每个编译只允许一个 Resource 包含能被当前编译引用的设计单元 在编译期间允许多个
ModelSim 仿真工具
• 由Model技术公司开发 • 工业上最通用的仿真器之一 • 可在Verilog 和 VHDL仿真
– OEM版本允许Verilog仿真 或者 VHDL 仿真
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Liyong xjtu’soc center
5 Ö 运行 (run)
• GUI) run -> run –all 也可以通过点击wave窗口的 • 点击wave窗口 停止仿真
18
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Wave窗口操作
通过在wave窗口可以通过菜单、工具栏和在选中信号后 点右键来完成相应的调试工作。 19
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Modelsim窗口命令记录
20
Institute of Artificial Intelligence and Robotics
• 批处理模式
– 从DOS或UNIX命令行运Intelligence and Robotics
Liyong xjtu’soc center
GUI 基本仿真步骤
1 Ö 建立工程(同时指定了工作库) 2 Ö 编译源代码 3 Ö 启动仿真器 4 Ö 加入波形 5 Ö 执行仿真
Liyong xjtu’soc center
Model 技术公司的 ModelSim
main主窗口: source源窗口
structure结构窗口 Wave&list 波形和列表窗口
process处理窗口:
Signal&variable信号和变量窗口 dataflow数据流窗口 7
Institute of Artificial Intelligence and Robotics
3
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Debussy是一个Verilog\VHDL调试工具
• Debussy是一套很好的Verilog\VHDL调试工具,可以帮 助设计者快速理解复杂的设计(设计小组中别人开发 的复杂、不熟悉的代码或者IP),查找和定位设计中 存在的bug,提高效率,缩短产品上市时间。 • Debussy主要有以下几个模块: 1、nTrace:超文本连接方式的源代码追踪及分析 2、nSchema:原理图显示及分析 3、nWave:波形显示及分析 4、nState:有限状态机的显示及分析 5、nCompare:分析仿真结果,比较其相异处
15
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
3 Ö 启动仿真器(load design)
GUI) design -> load design
16
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
保存运行命令
21
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
将命令存储成.do格式,并调用
#test3.do vlog -work work F:/test3/black_stretch.v vlog -work work F:/test3/test.v vsim work.test view signals view structure view wave add wave sim:/test/red_out_w add wave sim:/test/green_out_w add wave sim:/test/blue_out_w run -all
Liyong xjtu’soc center
4 Ö 添加波形
• GUI) view -> structure • GUI) view -> signals • GUI) view -> wave
选择需要显示的module 选择所要显示的信号 将所选择的信号调入波形窗口
17
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center