ARM9系统的硬件设计说明
SeaARM9开发系统硬件说明书

ADDRESS 101 Upper Cross Street #13-13 People's Park Center SINGAPORE 058357 SeaARM9开发系统硬件说明书西安西雅图数码科技有限公司ADDRESS 101 Upper Cross Street #13-13 People's Park Center SINGAPORE 058357目录1. SeaARM9 开发板 (3)1.1 系统概述 (3)1.2 SeaARM9 开发板概述 (3)1.3 SeaARM9 电路说明 (4)1.4 SeaARM9 开发板系统设置 (4)1.5 SeaARM9 开发板外围扩展口说明 (5)2. 工具链的安装及软件设置 (8)3. 开发板实验 (10)3.1 基础实验: (10)熟悉LINUX开发环境 (10)多线程应用程序设计 (10)串行端口程序设计 (10)A/D接口实验 (10)D/A接口实验 (10)简单的嵌入式WEB服务器实验 (10)步进电机实验 (10)直流电机实验 (10)3.2 图形界面应用程序设计 (10)安装与建立QT桌面运行环境 (10)QT简介与QT/E的交叉编译 (10)建立QTOPIA虚拟平台 (10)QTOPIA在2410上的移植 (10)3.2 内核与根文件系统实验 (10)LINUX内核移植与编译实验 (10)根文件系统实验 (10)3.4 驱动模块实验 (10)动态加载模块实验 (10)步进电机驱动实验 (10)触摸屏驱动 (10)LCD显示驱动实验 (11)音频驱动及应用 (11)USB鼠标应用 (11)IDE硬盘读写实验 (11)3.5 扩展模块实验 (11)SD卡使用实验 (11)GPS通讯实验 (11)GPRS通讯实验 (11)红外通讯实验 (11)ADDRESS 101 Upper Cross Street #13-13 People's Park Center SINGAPORE 058357 1. SeaARM9 开发板1.1 系统概述SeaARM9 是针对S3C2410 的高性能开发平台,并适合用来作为开发高性能手持式以及便携式智能设备或终端。
ARM9教程DSP教程FPGA教程CPLD教程3A软硬件设计0229

DISP_DATAO
: out std_logic_vector(7 downto 0);
第 4 页 共 24 页
RAM_DATAIO RAMWRP RAMWR
: inout std_logic_vector(7 downto 0); : out STD_LOGIC; : out STD_LOGIC
T0 T1
RXD TXD RXDO
TSK51A OCD Microprocessor TSK51A_D
P3O_B[7..0]
O[7..0]
U2 P3O_B0
BUF
[15..0] [15..0]
ADR16
KDATAO[7..0] KDATAI[7..0]
ADR[16..0]
DATAO_N[7..0] DATAI_N[7..0]
U5 OR2N1S
This example includes an MCU (TSK51), the NanoBoard PS2 interface, VGA Converter and Test_Button.
The TSK51 reads the PS2 interface and refreshes the location of a rectangle on the VGA screen.
entity RAM_Controll is
port
(
DISP_RD
: in std_logic;
C51_RD
: in std_logic;
C51_WR
: in std_logic;
C51_ADDRESS : in std_logic_vector(16 downto 0);
DISP_ADDRESS : in std_logic_vector(16 downto 0);
【ARM9嵌入式系统硬件设计指南】嵌入式处理器

【ARM9嵌入式系统硬件设计指南】嵌入式处理器摘要近年来,随着微处理器制造技术的发展,越来越多的嵌入式系统用嵌入式处理器建造,而不是用通用处理器。
这些嵌入式处理器是为完成特殊的应用而设计的处理器。
本章节为您介绍嵌入式处理器和它的分类。
ZLG致远电子十余年的嵌入式硬件设计秘笈首度公开!《ARM9嵌入式系统硬件设计指南》配套划时代精品EasyARM-i.MX283A 开发平台同期发布,深入剖析ARM9 硬件设计的每一个毛孔,助您完成前所未有的技术飞跃!1.2 嵌入式处理器1.2.1 嵌入式处理器介绍普通个人计算机(PC)中的处理器是通用目的的处理器。
它们的设计非常丰富,因为这些处理器提供全部的特性和广泛的功能,故可以用于各种应用中。
使用这些通用处理器的系统有大量的应用编程资源。
例如,现代处理器具有内置的内存管理单元(MMU),提供内存保护和多任务能力的虚存和操作系统。
这些通用的处理器具有先进的高速缓存逻辑。
许多这样的处理器具有执行快速浮点运算的内置数学协处理器。
这些处理器提供接口,支持各种各样的外部设备。
这些处理器能源消耗大,产生的热量高,尺寸也大。
其复杂性意味着这些处理器的制造成本昂贵。
在早期,嵌入式系统通常用通用目的的处理器建造。
近年来,随着微处理器制造技术的发展,越来越多的嵌入式系统用嵌入式处理器建造,而不是用通用处理器。
这些嵌入式处理器是为完成特殊的应用而设计的处理器。
一类嵌入式处理器注重尺寸、能耗和价格。
因此,某些嵌入式处理器限定其功能,即处理器对于某类应用足够好,而对于其他类的应用可能就不够好了。
这就是为何许多的嵌入式处理器没有太高的CPU速度的原因。
例如,为个人数字助理(PDA)设备选择的就没有浮点协处理器,因为浮点运算没有必要。
这些处理器可以是16 bit地址体系结构,而不是32 bit 的;处理器可以是200MHz CPU频率,因为应用的主要特性是交互和显示密集性的,而不是计算密集性的。
ARM9嵌入式系统设计基础教程

ARM9嵌入式系统设计基础教程嵌入式系统是一种特殊的计算机系统,通常由处理器、存储器、外设和操作系统等组成,用于控制和管理各种设备和系统。
ARM9是目前应用最广泛的一种嵌入式处理器,具有低功耗、高性能和低成本等优势。
本教程将介绍ARM9嵌入式系统的设计基础,包括硬件和软件方面的内容。
一、硬件设计基础1.处理器选择:ARM9嵌入式系统的核心是处理器,我们需要选择合适的ARM9处理器作为系统的处理器,常用的有ARM926EJ-S、ARM966E-S 和ARM968E-S等。
选择处理器时需要考虑处理能力、功耗和接口等因素。
2. 外设选择:嵌入式系统的外设通常包括存储器、输入输出接口、通信接口和传感器等。
我们需要选择合适的外设来满足系统的功能需求,常用的有SDRAM、NOR Flash、NAND Flash、UART、SPI、I2C、USB和Ethernet等。
3.PCB设计:嵌入式系统的PCB设计需要考虑信号完整性、电磁兼容性和功耗管理等因素。
我们需要合理布局和布线,进行必要的层间隔离和信号屏蔽,以保证系统的稳定性和可靠性。
4.供电设计:嵌入式系统的供电设计需要考虑电源的稳定性和效率,以及功耗的管理和优化。
我们需要选择合适的电源芯片,并进行必要的电源管理和电池管理等设计。
5.调试和测试:嵌入式系统的调试和测试是设计过程中的重要环节。
我们需要选择合适的调试和测试工具,例如ICE、JTAG和仿真器等,通过硬件和软件的调试手段来验证系统的正确性和稳定性。
二、软件设计基础1. 操作系统选择:嵌入式系统的操作系统是软件开发的基础,常用的操作系统有Linux、Windows CE和RTOS等。
我们需要根据系统的需求选择合适的操作系统,并进行必要的移植和配置。
2.驱动程序开发:嵌入式系统的外设和接口需要编写相应的驱动程序来完成硬件的初始化和控制。
我们需要掌握设备驱动程序的开发方法和技巧,例如寄存器编程、中断处理和DMA等。
基于ARM9的视频监控系统的设计

基于ARM9的视频监控系统的设计基于ARM9的视频监控系统的设计随着社会的发展和进步,视频监控系统在各个领域中被广泛应用,如公共安全、交通管理、工厂生产等。
本文将探讨基于ARM9的视频监控系统的设计。
该系统利用ARM9处理器作为控制核心,结合摄像头、存储设备、网络通信等技术,实现对目标区域的实时监控、录像、远程访问等功能。
一、系统架构设计基于ARM9的视频监控系统的设计主要分为硬件部分和软件部分两个方面。
硬件部分:系统需要包括ARM9处理器、摄像头、存储设备和网络通信模块。
ARM9处理器:作为系统的控制核心,负责视频数据的采集和处理、运算控制等任务。
ARM9处理器以其低功耗、高性能和开放的架构,被广泛应用于嵌入式系统。
摄像头:用于对监控区域进行图像的采集。
摄像头的选择应考虑画质清晰、适应不同光线环境、支持低照度拍摄等特点。
存储设备:用于存储监控数据。
可以选择使用SD卡、硬盘等存储媒介,以满足数据存储量的需求。
网络通信模块:能够实现系统与其他设备之间的数据传输和通信。
可以选择以太网模块,利用网络连接,实现远程访问、数据交互等功能。
软件部分:系统需要包括系统内核、视频采集处理算法和远程访问管理软件。
系统内核:基于ARM9处理器的操作系统,为视频监控系统提供运行环境和资源管理。
可以选择嵌入式Linux系统,如Buildroot、OpenWrt等。
视频采集处理算法:包括图像采集、压缩编码、实时传输等功能。
根据需求选择适合的算法实现视频数据的处理。
远程访问管理软件:用于实现用户对监控系统的远程访问和管理功能。
可以开发手机App或者使用现有的监控管理软件。
二、系统功能设计基于ARM9的视频监控系统具备以下功能:1. 实时监控:通过摄像头采集图像,经过ARM9处理,实现对目标区域的实时监控。
摄像头可以设置多个,以满足对不同区域的监控需求。
2. 视频录像:将摄像头采集到的视频数据进行压缩编码后,存储到存储设备中。
基于ARM9的数控铣床系统设计方案

基于ARM9的数控铣床系统设计方案引言目前国内使用的数控系统通常是在通用计算机或工控机的基础上加装运动控制卡,使用Windows操作系统,并安装昂贵的数控软件构成的。
这样的系统软件成本高、硬件资源浪费、功耗大。
而嵌入式产品具有系统结构精简、功耗低等特点,能弥补传统数控系统的不足。
免费软件Linux 操作系统进军嵌入式领域,更使得嵌入式产品能够充分发挥廉价、高性价比的优势。
本文所述的正是以Linux操作系统为软件平台,以ARM9微处理器为硬件平台,以数控铣床为背景,自主研发数控硬件及软件的嵌入式数控铣床控制系统。
1 系统硬件组成系统的硬件由ARM系统主板和扩展板卡组成。
硬件结构如图1。
1.1 ARM系统主板根据系统需要,主板上主要包括中央处理器S3C2410X、SDRAM 内存、NAND FLASH ( 64M )、串口、CS8900A以太网芯片( 10M 网口)、LCD显示器接口、USB接口、扩展总线接口等。
中央处理器S3C2410X内部资源十分丰富,包括1个LCD控制器、SDRAM 控制器、3个通道的UART、4个具有PWM 功能的计时器和1个内部时钟、2个USB主机接口和1个USB设备接口等。
S3C2410X 处理器主频最高为202MH z, 内置16 KB 指令高速缓存和16KB数据高速缓存,5级指令流水线,带有乘累加运单元,可以运行起嵌入式Linux 操作系统并能进行较为复杂的信息处理,基本满足用户对快速性的要求。
并且采用NAND FLASH 与SDRAM 组合来存储数据和程序,可以获得非常高的性价比。
系统采用10M 的以太网接口芯片CS8900A, 这是用于嵌入式设备的低成本以太局域网控制器。
通过标准网络接口数控系统可以连接到Internet或局域网上。
1.2 扩展板卡扩展板卡即把步进电机驱动器控制接口、伺服电机驱动器控制接口、编码器接口集成在一个板子上,作为控制数控铣床的控制卡,来控制步进电机和伺服电机的运转。
第4 ARM9硬件平台设计

第5章 S3C2410嵌入式系统硬件构架5.1 S3C2410微处理器简介5.1.1 S3C2410片内资源简介S3C2410是设计用于小型的手持设备及一般应用,高性价比、低功耗、高性能微控制器解决方案,其包含如下内部资源:1、ARM920T核、工作频率203MHz;2、独立的16KB的指令CACHE和16KB的数据CACHE;MMU处理虚拟存储器管理;4、LCD控制器(支持黑白、灰度、Color STN、TFT屏),触摸屏接口;5、NAND FLASH控制器;片选逻辑和SDRAM控制器;6、SD/MMC接口支持;7、3通道UART;4个DMA通道;8、1个多主I2C总线控制器、1个IIS总线控制器;9、2通道SPI接口及用于时钟处理的PLL电路10、4通道PWM定时器及一个内部定时器;11、117个通用I/O口;12、24个外部中断源;13、两个USB主/一个USB从;14、8通道10位ADC;15、实时时钟及看门狗定时器等。
5.1.2 S3C2410引脚分布及信号描述1、S3C2410引脚分布S3C2410为272脚的FBGA封装,体积很小,便于小型设备应用,图5-1为S3C2410的引脚分布图:图5-1 S3C2410引脚分布(272-FBGA)2、S3C2410引脚信号描述S3C2410的引脚按功能划分,可分为不同的组,如总线控制信号、SDRAM/SRAM控制信号、NAND Flash接口信号、LCD控制信号、中断控制信号、IIC总线接口信号、IIS总线接口信号、电源等。
下面按不同的引脚功能组,对每一引脚加以描述:(1)总线控制信号(17)定时器/PWM接口信号信号类型描述TOUT[3:0] O 定时器输出TCLK[1:0] I 外部定时器时钟输入注:I、O、IO分别为输入、输出及输入输出;2、AI、AO、P分别为模拟输入、模拟输出及电源;5.2 S3C2410最小系统设计一个嵌入式处理器是不能独立工作的,必须给它供电、加上时钟信号、提供复位信号,如果芯片没有片内程序存储器,则还要加上存储器系统,然后嵌入式处理器才可能工作。
基于SystemC的ARM9硬件体系结构设计的开题报告

基于SystemC的ARM9硬件体系结构设计的开题报告一、研究背景随着嵌入式系统的普及,现在已经无处不在了。
其中,ARM架构是目前最流行的嵌入式处理器架构之一。
ARM9是ARMv5架构的一部分,是一种功能强大的32位嵌入式处理器。
它具有高性能、低功耗、强大的多媒体处理能力和高度可靠性等特点,被广泛应用于智能手机、数码相机、电视机顶盒、智能家居等领域。
SystemC是一种用于硬件设计和验证的开源C++库。
它提供了一种高级的抽象级别,使得设计人员可以更容易地描述复杂的硬件系统、组件和接口。
利用SystemC,可以实现嵌入式处理器的仿真和验证,以确保设计的正确性和可靠性。
本课题旨在基于SystemC,对ARM9硬件体系结构进行设计和仿真,以验证硬件设计的正确性,提高系统的可靠性和性能。
二、研究内容1. ARM9硬件体系结构的设计:包括处理器核心、存储器、外设、总线等。
2. SystemC环境的搭建:包括SystemC库的安装和配置,仿真器的选择和设置等。
3. ARM9硬件系统的仿真:利用SystemC实现ARM9硬件系统的仿真和验证,包括指令集、内存管理单元、总线交换协议等的仿真。
4. 性能评估和优化:通过仿真和评估,发现性能瓶颈和优化空间,并对硬件系统进行优化和改进。
三、研究意义基于SystemC的ARM9硬件体系结构设计具有重要意义:1. 提高系统的可靠性和性能,确保硬件系统的正确性。
2. 利用SystemC工具实现ARM9硬件系统的仿真和验证,提高硬件开发效率,降低开发成本。
3. 可以应用于各种类型的嵌入式系统,推动嵌入式系统向着更高效、更可靠、更智能化的方向发展。
四、研究方法本课题采用以下研究方法:1. 文献调研:阅读相关文献,了解ARM9硬件系统设计和SystemC仿真技术的现状。
2. 系统分析:对ARM9的硬件体系结构进行深入分析,包括处理器核心、存储器、外设、总线等的功能和交互。
3. 硬件设计:基于ARM9的硬件体系结构设计,并利用SystemC实现仿真和验证。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
毕业论文题目:ARM9系统的硬件设计学员姓名黄俊礼学号XXXXXXXX所在单位电子技术学院指导教师罗长远完成日期2007年7月2日摘要本文首先对ARM9系统的基本原理进行简单的介绍,然后从具体的工程应用出发,详细介绍ARM9系统硬件开发的具体流程,包括元器件的选型,原理图的绘制,PCB的设计,焊接与调试等。
工程所使用的绘图软件是Protel DXP,ARM9系统所选用的CPU是三星公司的S3C2410。
关键词:ARM9系统;PCB设计;Protel DXP;S3C2410AbstractAt first, this article has a summary introduction of keystone about advances risc machines, and then, it gives the material flow of hardware design on ARM9 system which is based on real engineering application, including choose the components, protract schematic, design the PCB, jointing and testing, and so on. The software of protracting in the engineering is Protel DXP, and the CPU used in system is SamSung S3C2410.Key Words: Advances RISC Machines;Printed Circuit Board Design;Protel DXP;S3C2410目录第一章基础知识 (1)1.1 ARM 微处理器概述 (1)1.1.1 ARM-Advanced RISC Machines (1)1.1.2 ARM微处理器的应用领域 (1)1.1.3 ARM9微处理器系列 (2)1.2 硬件开发的准备知识 (3)第二章原理图设计 (4)2.1 功能定义及元器件的选型 (4)2.2 原理图设计 (5)2.2.1 总体结构图 (5)2.2.2 电源部分 (6)2.2.3 CPU部分 (7)2.2.4 闪存部分 (10)2.2.5 内存部分 (11)2.2.6 串口部分 (11)2.2.7 视频输出部分 (12)第三章电路板设计 (13)3.1 多层板的管理 (13)3.2 布局与布线 (15)3.2.1 布局的原则 (15)3.2.2 布线的方法和参数的设置 (16)3.3 后期处理 (17)3.3.1 补泪滴 (17)3.3.2 敷铜 (17)3.3.3 加标注 (18)第四章电路板的焊接与调试 (19)4.1 CPU的焊接 (19)4.2 外围芯片的焊接与调试 (19)4.3 软件的烧写 (20)4.4 电路板的实物图 (21)4.4.1 硬件实物截图 (21)4.4.2 图形系统运行截图 (22)4.4.3 应用程序运行截图 (23)后记 (24)参考文献 (24)第一章基础知识1.1 ARM 微处理器概述1.1.1 ARM-Advanced RISC MachinesARM(Advanced RISC Machines),既可以认为是一个公司的名字,也可以认为是对一类微处理器的通称,还可以认为是一种技术的名字。
1991 年ARM 公司成立于英国剑桥,主要出售芯片设计技术的授权。
目前,采用ARM 技术知识产权(IP)核的微处理器,即我们通常所说的ARM 微处理器,已遍及工业控制、消费类电子产品、通信系统、网络系统、无线系统等各类产品市场,基于ARM 技术的微处理器应用约占据了32位RISC 微处理器75%以上的市场份额,ARM 技术正在逐步渗入到我们生活的各个方面。
ARM 公司是专门从事基于RISC 技术芯片设计开发的公司,作为知识产权供应商,本身不直接从事芯片生产,靠转让设计许可由合作公司生产各具特色的芯片,世界各大半导体生产商从ARM 公司购买其设计的ARM 微处理器核,根据各自不同的应用领域,加入适当的外围电路,从而形成自己的ARM 微处理器芯片进入市场。
目前,全世界有几十家大的半导体公司都使用ARM 公司的授权,因此既使得ARM 技术获得更多的第三方工具、制造、软件的支持,又使整个系统成本降低,使产品更容易进入市场被消费者所接受,更具有竞争力。
1.1.2 ARM微处理器的应用领域到目前为止,ARM 微处理器及技术的应用几乎已经深入到各个领域:1、工业控制领域:作为32 的RISC 架构,基于ARM 核的微控制器芯片不但占据了高端微控制器市场的大部分市场份额,同时也逐渐向低端微控制器应用领域扩展,ARM 微控制器的低功耗、高性价比,向传统的8 位/16 位微控制器提出了挑战。
2、无线通讯领域:目前已有超过85%的无线通讯设备采用了ARM 技术,ARM 以其高性能和低成本,在该领域的地位日益巩固。
3、网络应用:随着宽带技术的推广,采用ARM 技术的ADSL 芯片正逐步获得竞争优势。
此外,ARM 在语音及视频处理上行了优化,并获得广泛支持,也对DSP 的应用领域提出了挑战。
4、消费类电子产品:ARM 技术在目前流行的数字音频播放器、数字机顶盒和游戏机中得到广泛采用。
5、成像和安全产品:现在流行的数码相机和打印机中绝大部分采用ARM 技术。
手机中的32位SIM 智能卡也采用了ARM 技术。
除此以外,ARM 微处理器及技术还应用到许多不同的领域,并会在将来取得更加广泛的应用。
1.1.3 ARM9微处理器系列ARM9 系列微处理器在高性能和低功耗特性方面提供最佳的性能。
具有以下特点:-5级整数流水线,指令执行效率更高。
-提供1.1MIPS/MHz 的哈佛结构。
-支持32 位ARM 指令集和16 位Thumb 指令集。
-支持32 位的高速AMBA 总线接口。
-全性能的MMU,支持Windows CE、Linux、Palm OS 等多种主流嵌入式操作系统。
-MPU 支持实时操作系统。
-支持数据Cache 和指令Cache,具有更高的指令和数据处理能力。
ARM9 系列微处理器主要应用于无线设备、仪器仪表、安全系统、机顶盒、高端打印机、数字照相机和数字摄像机等。
ARM9 系列微处理器包含ARM920T、ARM922T 和ARM940T 三种类型,以适用于不同的应用场合。
1.2 硬件开发的准备知识ARM9系统的硬件设计,是一项复杂的系统工程,必须有坚实的准备知识,包括电路基础、模拟电路、数字电路、微机原理、接口技术、单片机原理、ARM系统原理等等。
不仅需要硬件相关的知识,而且需要软件方面的知识,如操作系统。
由于是复杂的硬件系统的设计,还需要掌握EDA软件,例如本文使用Protel DXP。
此外,由于硬件开发还涉及焊接、调试等工序,所以还应该对元器件的封装、电气特性等有所了解,并掌握焊接技术,能对一般的贴片元件进行手工焊接。
这方面的知识需要在实践中积累经验,很难一蹴而就。
做ARM9系统的硬件设计,一般采用六层板,成本较高。
为了避免因设计的错误而付出沉重的代价,建议在做ARM9的硬件设计以前,做一些简单的硬件设计,如单片机系统和ARM7系统,它们一般都是采用两层板,工艺要求不高,成本也相对较低。
从简单的硬件设计做起,有助于提高ARM9系统设计的成功率。
第二章原理图设计2.1 功能定义及元器件的选型本文所要做的ARM9系统,是一个功能相对简单的一个系统,目的只是要掌握ARM9系统完整的开发流程,所以并不要求强大的功能和很高的性能。
因此,在元器件的选型上,CPU采用三星公司的S3C2410。
网上关于S3C2410的资料很多很全,其性价比也备受推崇,所以很适合做学习用。
对系统进行功能定义的思路就是,在主机上通过串口对目标板进行控制,然后通过VGA接口显示出来。
其中,基本上所有的控制都是通过串口实现的。
系统的结构主要包括CPU部分、Flash闪存部分、SDRAM部分、电源和JTAG下载接口部分、串口控制部分和VGA视频输出六大部分。
性能参数如下:CPU处理速度为200MHz,Flash大小为64M,SDRAM为64M。
2.2 原理图设计原理图的绘制采用EDA软件Protel DXP,该软件在国内相当普及,学习的门槛也低,在要求不是非常高的情况下,使用它进行原理图的绘制乃至后面的电路板设计,都是很合适的。
关于Protel DXP的书籍比较多,在此就不再赘述Protel DXP的使用了。
2.2.1 总体结构图电路由电源、CPU、闪存、内存、串口、VGA接口六部分组成。
在绘制电路原理图时,采用自下而上的设计方式,最后汇总为总的结构图。
为了对系统有一个更直观和全面的了解,这里先把结构总图放在前面。
总体结构图2.2.2 电源部分电源的设计主要是根据CPU的需求而定制的,外围电路对电源的要求一般都不苛刻。
S3C2410所需要的电压是3.3V和1.8V,因此,电源部分就采用5V供电,然后通过稳压芯片AMS1117-3.3V 和AMS1117-1.8V获得CPU所需电压。
另外,由于系统相对简单,没有耗电很厉害的器件,所以选用500mA以内的稳压芯片即可。
需要注意的一点是,电源对系统的影响也是很大的,不好的电源将导致系统故障。
所以,一定要保证电流的富足、电压的稳定、波形的平滑,这就要求在稳压和滤波方面一定不能偷工减料,高质量的稳压芯片和大容量的电容是必不可少的。
电源部分的电路还包含了JTAG接口和复位电路。
电源部分2.2.3 CPU部分CPU采用的是三星的S3C2410,它的引脚有272个,如果都把与它的连线画在一个图上,看起来就会很拥挤,不便于理解。
所以,把CPU又分为四部分:电源(Power)、晶体振荡(XTAL)、总线(BUS)、视频(VGA)。
这一部分的电路涉及的元器件很少,但是引线很多,尤其是总线,所以应该重点注意网络标志的书写,避免出现错误,否则会造成很严重的后果。
CPU_PowerCPU_BUS CPU_XTAL CPU_VGA2.2.4 闪存部分Flash芯片选用三星的存贮芯片K9F1208,它的容量是64M,老一点的优盘使用的都是这个存储芯片。
看起来引脚很多,实际上使用的引脚不算多,引脚的定义一目了然。
Flash电路2.2.5 内存部分内存采用两片16位的芯片串接成32位,容量是64M,芯片是K4S561632E-UC75。
这部分电路除了滤波电容外,没有外围元件。
内存是程序运行时所必须的,它的容量大、存贮速度块、成本不高,因此在调试中具有重要作用。
把程序下载的SDRAM中,先运行一遍,如果可行再烧写到Flash中,这样就避免了Flash被多次烧写并节约了时间。