集成电路设计概述

超大规模集成电路设计导论(VLSI)总复习(全英)

VLSI复习 题型: 缩写5题10分 简答12题60分 计算3题30分 Chapter 01 1.How to evaluate performance ?Cost ?Reliability ?Speed (delay, operating frequency) ?Power dissipation

2.Regenerative property 3.Delay : Chapter 02 1.Inverter layout 2.Photolithography process 1)Oxidation layering(氧化层) 2)Pthotoresist coating(涂光刻胶) 3)Stepper exposure(光刻机曝光) 4)Photoresist development and bake(光刻胶的显影和烘干) 5)Acid etching(酸刻蚀) 6)Spin, rinse, and dry(旋转,清洗和干燥) 7)Various process steps: Ion implantation(离子注入) Plasma etching(等离子刻蚀) Metal deposition(金属沉淀) 8)Photoresist removal( or ashing) 去除光刻胶(即“沙洗”) Chapter 03 1.Linear/ Saturation mode 2.Long channel vs short channel

3.Capacitances= structure capacitances+channel capacitances+MOS diffusion capacitances 4.Resistance=MOS sructure resistance+source and drain resistance+cantact resistance+wiring resistance With silicidation R方块? is reduced to the range 1 to 4 Ω/方块(source and drain resistance) Chapter 04 1.C wire = C pp + C fringe + C interwire 2.Dealing with resistance: 1)Use better interconnect materials 2)More interconnect layers 3.RC Mode

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

超大规模集成电路设计导论考试题及答案

1、MOS集成电路的加工包括哪些基本工艺?各有哪些方法和工序? 答:(1)热氧化工艺:包括干氧化法和湿氧化法; (2)扩散工艺:包括扩散法和离子注入法; (3)淀积工艺:化学淀积方法:1 外延生长法;2 热CVD法;3 等离子CVD法; 物理淀积方法:1 溅射法;2 真空蒸发法 (4)光刻工艺:工序包括:1 涂光刻胶;2 预烘干;3 掩膜对准;4 曝光;5 显影; 6 后烘干; 7 腐蚀; 8 去胶。 2、简述光刻工艺过程及作用。 答:(1)涂光刻胶:为了增加光刻胶和硅片之间的粘附性,防止显影时光刻胶的脱落,以及防止湿法腐蚀产生侧向腐蚀; (2)预烘干:以便除去光刻胶中的溶剂; (3)掩膜对准:以保证掩模板上的图形与硅片上已加工的各层图形套准; (4)曝光:使光刻胶获得与掩模图形相同的感光图片; (5)显影:将曝光后的硅片浸泡在显影液中,使正光刻胶的曝光部分和负光刻胶的未曝光部分被溶解掉; (6)后烘干:使残留在光刻胶中的有机溶剂完全挥发掉,提高光刻胶和硅片的粘接性及光刻胶的耐腐蚀性; (7)腐蚀:以复制在光刻胶上图形作为掩膜,对下层材料进行腐蚀,将图形复制到下层材料中; (8)去胶:除去光刻胶。 3、说明MOS晶体管的工作原理 答:MOS晶体管有四种工作状态: (1)截止状态:即源漏之间不加电压时,沟道各电场强度相等,沟道厚度均匀,S、D之间没有电流I ds=0; (2)线性工作状态:漏源之间加电压Vds时,漏端接正,源端接负,沟道厚度不再均匀,在D端电位升为V d,栅漏极电位差为

Vgs-Vtn,电场强度变弱,反型层变薄,并在沟道上产生由D到S的电场E ds,使得多数载 流子由S端流向D端形成电流I ds,它与V ds变化呈线性关 系:I ds=βn[(V gs-V tn)-V ds/2]V ds (3)饱和工作状态:Vs继续增大到V gs-V tn时,D端栅极与衬底不足以形成反型层,出现沟道夹断,电子运动到夹断点V gs-V ds=V tn时,便进入耗尽区,在漂移作用下, 电子被漏极高电位吸引过去,便形成饱和电流,沟道夹断后,(V gs-V tn)不变,I ds也不 变,即MOS工作进入饱和状态,I ds=V gs-V tn/R c (4)击穿状态:当Vds增加到一定极限时,由于电压过高,晶体管D端得PN结发生雪崩击穿,电流急剧增加,晶体管不能正常工作。 4、MOS反相器有哪些种类?说明每种反相器的特性。 答:(1)电阻负载反相器(E/R):该电路在集成电路中很少用,在分离原件中常用; (2)增强型负载反相器(E/E):这种反相器的漏端始终处于夹断状态; (3)耗尽型负载反相器(E/D):有较高的输出电平和较快的上升速度,其翻转时间短,电路工作速度快,是目前最常用的反相器;(4)CMOS反相器:1 静态功耗低;2 抗干扰能力强;3 电源利用率低;4 输入阻抗多,负载能力强。 5、简述Latch-up效应的产生原理及防治办法 答:产生原理:用CMOS晶体管的说明闸流效应 (1)在P阱内有一个纵向的NPN管,在P阱外有一个横向的NPN管,两个晶体管的集电极各驱动另一个晶体管的基极,构成正反馈回路; (2)P阱中纵向NPN管的电流放大倍数约为50到几百,P阱外的横向PNP管的电流放大倍数约为0.5到10; (3)R w和R s为基极的寄生电阻,阱电阻Rw的典型值为1K--10K欧姆,衬底电阻R s的典型值为500--700欧姆。 如果两个晶体管的电流放大倍数和基极寄生电阻Rw、Rs值太大,在外部噪声的影响下,很容易使输出端V o瞬间置于V ss之下约为0.7V,使得N+漏区(也有可能是N+]源区)向P

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

集成电路技术及其发展趋势

集成电路技术及其发展趋势 摘要目前,以集成电路为核心的电子产业已超过以汽车、石油、钢铁为代表的传统工业成为第一大产业,成为改造和拉动传统产业迈向数字时代的强大引擎和雄厚基石。作为当今世界竞争的焦点,拥有自主知识产权的集成电路已日益成为经济发展的命脉、社会进步的基础、国际竞争的筹码和国家安全的保障。 关键词集成电路系统集成晶体管数字技术

第一章绪论 1947年12月16日,基于John Bardeen提出的表面态理论、Willianm Shockley给出的放大器基本设想以及Walter Brattain设计的实验,美国贝尔实验室第一次观测到具有放大作用的晶体管。1958年12月12日,美国德州仪器公司的Jack 发明了全世界第一片集成电路。这两项发明为微电子技术奠定了重要的里程碑,使人类社会进入到一个以微电子技术为基础、以集成电路为根本的信息时代。50多年来,集成电路已经广泛地应用于军事、民用各行各业、各个领域的各种电子设备中,如计算机、手机、DVD、电视、汽车、医疗设备、办公电器、太空飞船、武器装备等。集成电路的发展水平已经成为衡量一个国家现代化水平和综合实力的重要标志[1]。 现代社会是高度电子化的社会。在日常生活中,小到电视机、计算机、手机等电子产品,大到航空航天、星际飞行、医疗卫生、交通运输等行业的大型设备,几乎都离不开电路系统的应用。构成电路系统的基本元素为电阻、电容、晶体管等元器件。早期的电路系统是将分立的元器件按照电路要求,在印刷电路板上通过导线连接实现的。由于分立元件的尺寸限制,在一块印刷电路板上可容纳的元器件数量有限。因此,由分立元器件在印刷电路板上构成的电路系统的规模受到限制。同时,这种电路还存在体积大、可靠性低及功耗高等问题。 半导体集成电路是通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路规则,互连“集成”在一块半导体单晶片上。封装在一个外壳内,执行特定的电路或系统功能。与印刷电路板上电路系统的集成不同,在半导体集成电路中,构成电路系统的所有元器件及其连线是制作在同一块半导体材料上的,材料、工艺、器件、电路、系统、算法等知识的有机“集成”,使得电路系统在规模、速度、可靠性和功耗等性能上具有不可比拟的优点,已经广泛的应用于日常生活中。半导体集成电路技术推动了电子产品的小型化、信息化和智能化进程。它彻底改变了人类的生活方式,成为支撑现代化发展的基石[2]。 1959年,英特尔(Intel)的始创人,Jean Hoerni 和Robert Noyce,在Fairchild Semiconductor开发出一种崭新的平面科技,令人们能在硅威化表面铺上不同的物料来制作晶体管,以及在连接处铺上一层氧化物作保护。这项技术上的突破取代了以往的人手焊接。而以硅取代锗使集成电路的成本大为下降,令

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

集成电路设计习题答案-章 精品

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义? MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识? 系统,电路,工具,工艺方面的知识 CH2 1.为什么硅材料在集成电路技术中起着举足轻重的作用? 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点? P10,11 3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触? 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH3 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子束

集成电路设计导论

集成电路设计与硅设计链概述 中关村益华软件技术学院陈春章艾霞李青青 摘要:当代计算机、电子通讯和各种多媒体技术需求的迅速发展,使得集成电路的设计规模已从几个晶体管发展到今天千万门的逻辑电路的设计,设计的复杂性也与日剧增,设计分工也渐趋明确。过去的五十年,集成电路产业经历了一次次的工艺技术革命和设计方法学的演变,逐渐形成了较为成熟的产业结构。以ASIC与SoC数字集成电路为例,芯片的设计往往依赖于IP厂商,晶圆生产商,设计库提供商及 EDA厂商的相互合作配合才能实现,本文拟对这样的合作配合模式-- 集成电路硅设计链和它的发展特点作一介绍。 IC Design and Silicon Design Chain Abstract: The demand and their rapid development of computers, electronic communication, and variety consumer & multimedia products have led to the IC design sizes from a few tens of transistors to one hundred million gates. The IC design itself has become more complex, the classification of design methods is becoming clearer. Due to the advancement of process technology and design methodology in the past half centuries, the infrastructure of IC industry has become mature. For successful design of an ASIC/SoC chip, it may rely on the close collaboration between the foundry, the library vendor, the IP provider and the EDA support. This short article introduces such collaboration model, namely, the silicon design chain and its evolving features. 1. IC设计概述 集成电路(IC)的发展从小规模集成电路(晶体管级),中、大规模集成电路(LSI)设计,到含几十万门逻辑电路的超大规模集成电路(VLSI)设计,直至当代数百万至数千万门逻辑电路的ASIC或SoC设计。集成电路设计也逐渐演变成集成系统设计。IC规模的增大,速度的提高都是建立在工艺进步的基础之上,制造工艺从微米级快速发展到亚微米级(sub-micron,即< 1 um)、深亚微米级(deep sub-micron, DSM),而今已实现了65纳米(nm)制造工艺及产品的实现。20世纪末先进的0.25um工艺到了21世纪将会逐渐被认为是过时的技术。由于复杂的IC从设计到实现会滞后于工艺的发展,所以工程技术人员奋力于研究先进的设计工具、设计平台和设计方法,尤其注重于与晶圆生产商,设计库提供商,IP厂商及EDA厂商的合作配合。 集成电路设计按照其处理信号的特征可分为数字集成电路、模拟集成电路和数模混合集成电路设计。数字集成电路首选代表为CPU芯片和当代的ASIC/SoC芯片等,数模电路则主要用于通讯和无线传输电路,模拟电路主要应用于传输接口部分以及射频电路。 本文系根据作者于2004年9月28日为北京工业大学电子信息与控制工程学院研究生演讲整理扩充而成。

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

集成电路技术十年发展

集成电路技术十年发展 2012-11-27 17:06:17 清华大学教授、微电子学研究所所长魏少军 一、总体情况 集成电路产业是关系国民经济和社会发展全局的基础性、先导性和战略性产业,是电子信息产业的核心,是关系到国家经济社会安全、国防建设极其重要的基础产业。 集成电路产业的竞争力已经成为衡量国家间经济和信息产业可持续发展水平的重要标志,是世界各先进技术国抢占经济科技制高点、提升综合国力的重要领域。 新世纪以来,我国的集成电路科技与产业在国务院国发2000(18号)文件和各级地方政府的持续支持下,获得了长足进步,取得了一系列重要成果: (一)集成电路产业链格局日渐完善 中国集成电路产业结构逐步由小而全的综合制造模式逐步走向设计、制造、封装测试三业并举,各自相对独立发展的格局。目前,中国集成电路产业已经形成了集 成电路设计、芯片制造、封装测试及支撑配套业共同发展的较为完善的产业链格局。 (二)集成电路设计产业群聚效应日益凸现 以上海为中心的长江三角洲地区、以北京为中心的环渤海地区以及以深圳为中 心的珠江三角洲地区已经成为国内集成电路产业集中分布的区域。全国集成电路设计、 制造和封装产业90%以上的销售收入集中于以上三个地区。其中,包括上海、江苏和浙江的长江三角洲地区是国内最主要的集成电路制造基地,在国内集成电路产业中占有重要 地位 (三)集成电路设计技术水平显着提高

国内集成电路设计企业的技术开发实力也有显着的提高,已经取得多项掌握核心技术的研发成果。2000年以来,“申威”高性能CPU、“龙芯”和“众志”桌面计算机用CPU、苏州国芯C*Core和杭州中天CK-Core嵌入式CPUIP核、智能卡集成电路芯片、第二代居民身份证专用芯片、自主高清电视(HDTV)标准和自主音视频标准AVS芯片、华为网络通讯交换装备核心系统芯片、大唐电信COMIPTM和展讯移动通信终端SoC、超大规模集成电路制造工艺、智能卡芯片专用工艺及高压特色工艺等技术和产品都取得了重要成果,大部分成果取得了产品化和产业化的重大进展,并获得国家科技进步奖励。 (四)人才培养和引进开始显现成果 集成电路是知识密集型的高技术产业,其持续、快速、健康的发展需要大量高水平的人才。但是,人才匮乏,人员流失严重却一直是困扰我国集成电路科技和产业发展的主要问题之一。为扭转这一局面,加大集成电路专业人才的培养力度,2003年国务院科教领导小组批准实施国家科技重大专项——集成电路与软件重大专项,并实施了“国家集成电路人才培养基地”计划。随后教育部、科技部批准建设国家集成电路人才培养基地。 二、集成电路设计 集成电路设计业是包括中国在内的全球整个集成电路产业中最为活跃的部分。集成电路设计企业在新兴产品的开发上扮演着关键作用。在中央处理器(CPU)、数字信号处理器(DSP)、半导体存储器、可编程逻辑阵列(FPGA)、专用集成电路(ASIC)和系统芯片(SoC)等主流产品领域,都可以发现集成电路设计企业的身影。在过去的十年间,我国集成电路设计业在CPU、智能卡专用芯片、3G通信芯片、数字电视芯片、第二代居民身份证芯片等领域取得了令人瞩目的成果。 (一)自主知识产权CPU CPU被誉为电子信息产品的心脏,是集成电路产品的制高点。十年间,我国在超级计算机用高性能CPU、桌面计算机/服务器CPU和嵌入式CPU领域取得了一系列重要突破,部分产品达到国际领先水平,极大地提高了我国在CPU领域的科技水平和支撑电子信息产业发展的能力。

相关文档
最新文档