合肥工业大学2011级电气学院EDA实验报告
合肥工业大学_电子资源概论实验报告

《电子资源概论》上机实习报告学号:姓名:专业班级:实习日期:联系电话:一、实习目的1.学习根据检索课题选择相关数据库,确定检索词、检索途径等进行课题检索。
2.了解数据库所收录文献的类型、学科范围和使用方法;根据检索需求选择检索途径和检索词。
3.分析检索过程,不断调整检索策略,以获得最佳检索效果。
4.对检索结果进行分析、评价,掌握获取原始文献及初步整理文献资料的方法。
二、实习基本要求:1、提交一份书面实习报告,以为附件形式发送邮件e-mail: hfutdb2013@ ,邮件主题为:电子资源概论上机实习报告(学号+姓名);2.独立完成 (禁止抄袭);3、作业文件命名为“学号+姓名+1”;4、交作业时间:2013年11月15日之前。
三、实习报告相关说明1、检索年限取近三年,如果文献量太少,可以放宽检索年限。
2、检出篇数指按检索词或检索式实际检出的篇数,而非经人工筛选的切题篇数。
3、检索式包括检索词、检索途径(如题名、作者、主题词、关键词、引文、文摘、全文、出版年、ISSN号(国际标准连续出版物编号)与ISBN号(国际标准书号)、分类号等等)、限定检索、检索功能包括(如浏览功能、简单检索功能、高级检索功能等等),检索技术指(如布尔逻辑检索、位置算符检索、截词检索、字段检索、全文检索、二次检索、限定检索等)要求说明操作步骤的一定要说明检索式,或者截图显示检索过程。
4、根据实习题要求提交检索结果信息。
5、可供参考的检索课题1)新能源汽车的研究与进展2)虚拟化技术在图书馆的应用研究3)云计算在教育领域中的应用研究4)增加价值管理研究5)染料敏化太阳能电池技术及产业化6)热力发动机的发展趋势7)低温技术在工程中的应用8)战略层面的网络安全问题9)信息技术在教学中的应用10)高等教育的改革与创新11)番茄基因工程改良/研究进展12)基因与营养的研究13)制造业的发展及其对人才需求的研究14)空气污染原因分析及对策的研究15)官员财产公开制度的研究16)网络购物消费人群分析17)银行理财的风险研究四、实习内容:第一部分图书馆OPAC系统等1、请列举课程中学习到的各类型学术性数据库,每种至少3个例子。
2011EDA技术chap101

Thold
T-(T1+T2+T3)必须大于LIBRARY 里FF2的SETUP时间
10.1.3 建立时间和保持时间
最小时钟周期
T2
IN CLK
D
FF1
T1
Q
Combinational circuit
D
Q
FF2
T-(T1+T2 +T3) ≥ Tsetup
T≥Tco+Tdelay+Tsetup +T3 最快时钟频率 F= 1/T T1,即Tco是触发器时钟到数据输出的延时; T2,即Tdelay是组合逻辑的延时; Tsetup是FF2触发器的建立时间
BLOCK (块结构) BLOCK在VHDL中具有的一种划分机制。 这种机制允许设计者合理地将一个模块 分为数个区域,在每个块都能对其局部 信号、数据类型和常量加以描述和定义。 任何能在结构体的说明部分进行说明的 对象都能在BLocK说明部分中进行说明。
BLOCK (块结构)
(Static Timing Analysis ) 动态时序Dynamic分析: (Dynamic Timing Analysis ) 从仿真起始至结束由许多事件发生来校验功能。 采用逻辑仿真器在验证功能的同时验证时序, 它以逻辑模拟方式运行,需要输入向量作为激励。 随着规模增大,所需要的向量数量以指数增长, 验证所需时间长,占到整个设计周期的50%,而 最大的问题是难以保证足够的覆盖率。
状态机定义比较
一般有3种方式:
(1)方式1
Signal curren_state:Std_Logic_Vector(1 Downto 0) Signal next_state:Std_Logic_Vector(1 Downto 0) 方式1定义的状态有比较多的毛病。首先,这种方 式定义的状态为逻辑向量,缺乏具体的状态含义,程 序的可读性较差,更重要的是,设计后期调试修改比 较麻烦。
EDA设计实验报告

南京理工大学泰州科技学院EDA设计实验报告教材名称:Multisim 9 在电工电子技术中的应用指导教师:付文红实验室:4401EDA实验室学院(系):电子电气工程学院专业班级:08电信(Z)姓名:苏州;韦庆阳学号:0802560136;0802560143实验学期:2010-2011学年第二学期总评成绩:教师签字:目录实验一晶体管单级放大电路实验二差分放大电路实验三功率放大电路实验四集成运算放大电路的应用实验五组合逻辑电路的验证及应用实验六组合逻辑电路的设计(1)实验七组合逻辑电路的设计(2)实验八组合逻辑电路的设计(3)实验九触发器、寄存器功能测试实验十综合设计--双向流动彩灯控制器的设计实验一晶体管单级放大电路一、实验目的:1、了解三极管的放大电路基本原理2、学会用虚拟仪器测试电路3、学会用仿真分析方法求电路的频率特性二、实验要求1、对电路进行静态分析2、用示波器给出饱和失真、截止失真和不失真的输出电压波形3、测出电路的电压放大倍数、输入电阻、输出电阻和频率特性三、实验内容原电路输出波形测试电路正常的波形输出(无失真的正弦波)饱和失真(将电阻Rp的值调整为100%得到)截止失真(将Rp的阻值调整为0%得到)静态工作点测试:选择万用表的直流电流档将其串接三极管的基极来测量基极电流IBQ=4.965uA;串联在三极管的发射极来测量集电极电流ICQ=1.037mA;选择万用表的直流电压档将其并接在三极管的发射极和集电极来测量UCEQ=4.589V.放大倍数的测试:选择万用表的交流电压档分别并接在输入信号端和输出信号端,测得ui=3mV uo=304.328mV Au=uo/ui=101.4输入电阻:选择万用表的交流电压档将其并接在信号源上测得ui=3mV,选择万用表的交流电流档串接在信号源所在的支路测得i=748.222nA,输入电阻Ri=ui/i=4.01KΩ。
输出电阻测试(开关断开)输出电阻测试(开关闭合)选择万用表的交流电压档将其并接在负载R5上,当开关断开时测得uo=593.848mV,开关闭合时测得u=304.329mV,则输出电阻Ro=(uo/u-1)*R5=4.94KΩ。
合肥工业大学电子线路CAD实验报告

综合实验报告二〇一一~ 二〇一二学年第三学期学院电气与自动化工程学院实验名称电子线路CAD专业班级自动化5班学号20101873学生姓名汤瑞指导教师李鑫、林逸榕成绩实验2 Protel 99SE原理图设计图2.1 PS/2键盘接口电路图2.2 直流/交流转换电路图2.3 部分人机界面接口电路图2.4 手动/自动触发信号产生电路图2.5 系统电源电路图2.6 信号复现模块电路实验3 Protel 99SE原理图编辑图3.1 7段LED数码管库元件图3.2 变压器库元件图3.2 变压器库元件图3.4 计数器库元件图3.5 运算单元库元件实验4 Protel 99SE原理图设计的电路测试与报表输出图4.1 单片机最小系统Error Report For : Documents\单片机最小系统.Sch 13-Jul-2012 09:29:48 #1 Warning IO Pins And Output Pins On Net AD0IO Pins : 单片机最小系统.Sch(U1-39 @140,550)Output Pins : 单片机最小系统.Sch(U3-11 @840,530)#2 Warning IO Pins And Output Pins On Net AD1IO Pins : 单片机最小系统.Sch(U1-38 @140,540)Output Pins : 单片机最小系统.Sch(U3-12 @840,520)#3 Warning IO Pins And Output Pins On Net AD2IO Pins : 单片机最小系统.Sch(U1-37 @140,530)Output Pins : 单片机最小系统.Sch(U3-13 @840,510)#4 Warning IO Pins And Output Pins On Net AD3IO Pins : 单片机最小系统.Sch(U1-36 @140,520)Output Pins : 单片机最小系统.Sch(U3-15 @840,500)#5 Warning IO Pins And Output Pins On Net AD4IO Pins : 单片机最小系统.Sch(U1-35 @140,510)Output Pins : 单片机最小系统.Sch(U3-16 @840,490)#6 Warning IO Pins And Output Pins On Net AD5IO Pins : 单片机最小系统.Sch(U1-34 @140,500)Output Pins : 单片机最小系统.Sch(U3-17 @840,480)#7 Warning IO Pins And Output Pins On Net AD6IO Pins : 单片机最小系统.Sch(U1-33 @140,490)Output Pins : 单片机最小系统.Sch(U3-18 @840,470)#8 Warning IO Pins And Output Pins On Net AD7IO Pins : 单片机最小系统.Sch(U1-32 @140,480)Output Pins : 单片机最小系统.Sch(U3-19 @840,460)End Report图4.2 电气法则测试结果图4.3 由原理图生成的网络表图4.4 Protel Format格式的元件列表图4.5 元件引脚列表实验5 PCB印制电路板设计图5.1 电平转换电路原理图图5.2 元件PCB图。
合肥工业大学CAD实验报告

掌握印制电路板制作地相关操作(准备电路图和网络表、设置参数、规划电路板、装载网络表和元件、元件布局、自动布线、手工调整、由图生成网络表);个人收集整理勿做商业用途
掌握报表(引脚信息报表、电路板信息报表、零件清单报表等)地功能以及由图生成各类报表地具体操作;个人收集整理勿做商业用途
掌握不同编辑器之间地切换方法;
实验步骤
启动
创建设计数据库文件
打开设计数据库文件
启动原理图编辑器
启动印制电路板编辑器
不同编辑器之间地切换
思考题
如何在不同类型地编辑器或相同类型地不同文件之间进行切换?
答:在创建不同类型地文件或相同类型地不同文件并进入相应地编辑器时,在工作窗口上部会相应地增加不同地标签.用鼠标单击选中这些标签就可以在不同类型地编辑器或相同类型地不同文件之间自由切换个人收集整理勿做商业用途
元件引脚列表
思考题
地含义及作用是什么?
答:是地缩写,是电气法则检查
是检测电路图有没有问题,如果检测没有错误,则原理图制作正确
简述网络元件引脚列表地作用.
网络元件引脚列表指明了引脚所属地元件,引脚编号,以及其网络标号,方便查元件引脚位置等信息
实验印制电路板设计
实验目地
熟悉放置工具栏中各按钮地功能,并掌握绘制图件(元件、焊盘、过孔、导线、注释文字、填充、圆弧、位置坐标、尺寸标注、坐标原点以及内部电源接地层)地基本操作;个人收集整理勿做商业用途
重新设定锁定栅格和可视栅格
绘制元件
放置元件引脚
修改元件属性
保存元件
实验结果(图见附录)
段数码管库元件
变压器库元件
运算放大器库元件
计数器库元件
运算单元库元件
EDA实验报告(全)

目录实验一全加器的设计 (1)一实验目的 (1)二实验要求 (1)三实验步骤: (1)四实验结果: (2)五实验注意: (2)六实验心得: (2)实验二模可变计数器的设计 (3)一实验要求 (3)二实验步骤 (3)三、实验心得: (6)实验三序列信号发生器与检测器设计 (7)一、实验目的 (7)二、设计要求 (7)三、主要仪器设备 (7)四、实验原理 (7)五、实验步骤 (8)六、实验心得 (13)实验四交通灯控制器设计 (14)一、实验目的 (14)二、设计要求 (14)三、主要仪器设备 (14)四、实验思路 (14)五、实验步骤 (15)六、实验现象及验证 (22)七、实验心得 (23)实验五多功能数字钟设计 (24)一、实验目的 (24)二、设计要求 (24)三、主要仪器设备 (24)四、实验思路 (24)五、实验步骤 (25)六、实验现象及验证 (31)七、实验心得 (31)实验六出租车计价器设计 (32)一、实验目的 (32)二、实验任务及要求 (32)三、主要仪器设备 (32)四、实验思路 (32)五、实验步骤 (33)六、实验现象及验证 (39)七、实验心得 (39)南昌大学实验报告学生姓名:xx 学号:61004100xx 专业班级:通信101实验类型:□验证□综合□设计□创新实验日期:2012-9-17 实验成绩:实验一全加器的设计一实验目的以一位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。
二实验要求⑴用文本方法实现半加器,再采用层次设计法用原理图输入完成全加器的设计;⑵给出此项设计的仿真波形;⑶用发光LED指示显示结果。
三实验步骤:1.(1)建立工作库文件夹,建立半加器工程h_adder,输入半加器VHDL代码并存盘。
library ieee;use ieee.std_logic_1164.all;entity h_adder isport ( a, b :in std_logic;co,so :out std_logic);end entity h_adder;architecture fh1 of h_adder isbeginso<=not(a xor (not b));co<=a and b;end architecture fh1;编译后转换得到半加器的元件符号h_adder(2)在同一工作库文件夹下,建立全加器工程fa,采用层次设计法调用元件半加器h_adder和或门or2完成全加器的原理图文件。
安工大 电子电路实验报告 12级
计算机电路基础实验指导书编写刘凤声安徽工业大学计算机学院2011年 3 月目录1. 节点电压法电路计算及分析 22. 含有受控源电路的设计与分析 33. 戴维南定理和诺顿定理的应用 54.一阶动态电路分析 85.串联交流电路的阻抗及波形 126.射极跟随器147. 集成运算放大积分电路208. 整流滤波电路22计算机电路基础实验指导书参考教材:《电路与电子技术基础》,刘凤声,2010.81. 实验 2.1 节点电压法电路计算及分析一、实验目的1.掌握Multisim常用仪器的使用方法。
2.会用Multisim用节点电压法分析和计算电路。
二、实验原理与实验步骤电路原理图如实验图2-1。
实验图2-1 节点电压法电路图节点电压法电路的计算式:表2-1 实验2.1 物理量和实验结果记录表节点电压法的实验步骤与分析:1、按实验图2-1 连接图形并测试。
2、将物理量和实验结果记录填写到表2-1中。
3、也可进行网络实验,打开网址:jszx-web/jddyf.html(见如下图)。
三、实验要求1、改变实验电路中元件的参数,并进行测试,写出测量结果2、实验结果数据与计算数据比较。
2. 实验2.2 含有受控源电路的设计与分析一、实验目的1.掌握Multisim常用仪器的使用方法。
2.会用Multisim分析含有受控源的电阻电路。
二、实验原理与实验步骤在电路分析课程中,对于含有受控源电路的分析一直是困扰学生的一个问题,对于受控源的受控量与控制量之间的关系总是在实际解题时产生混淆,实验中我们着重通过感性认识来了解受控源的特性。
实验电路如图2-2和图2-3所示,可以看到V1=V2。
实验图2.-2 VCVS电路1. 受电压控制的电压源(VCVS)电路分析(1) 改变可调电阻RL的数值,观察受控源被控制支路的电压变化。
(2) 改变电压源方向和数值,观察受控源被控制支路的电压变化。
(3) 改变受控源电压比,观察受控源被控制支路的电压变化。
合肥工业大学计算机组成原理实验报告(DOC)
实验一基本运算器实验一、实验目的了解运算器的组成结构;掌握运算器的工作原理。
二、实验内容1、连线说明:如果EXEC键上方指示灯不亮,请按一次EXEC键,点亮指示灯,表示实验仪在运行状态。
3、给暂存器A赋初值(1)拨动开关区单元的K7..K0开关,形成二进制数01011000(或其它值);指示灯亮,表示该位是‘1’,灭为‘0’。
(2)拨动开关区单元K15(wA)、K14(wB)、K13(rALU)、K12(CN_I)开关,赋wA=0(允许写A)、wB=1(禁止写B)、rALU=1(不允许ALU输出)、CN_I=0,按CON单元的STEP按键一次,产生一个T1的下降沿,将二进制数01011000写入暂存器A中,ALU单元的A_7…A_0LED 上显示A中的值4、给暂存器B赋初值(1)拨动开关区单元的K7..K0开关,形成二进制数10101011(或其它值)。
(2)赋wA=1(禁止写A)、wB=0(允许写B)、rALU=1(不允许ALU输出)、CN_I=0,按CON单元的STEP按键一次,产生一个T2的下降沿,将二进制数10101011写入暂存器B 中,ALU单元的R_7…R_0LED上显示B中的值5T3节拍,当rALU(K13)=0,如果S3S2S1S0的值是0000时,T2、T3节拍时,允许ALU结果输出;S3S2S1S0的值是其它数值,T3节拍时,允许ALU结果输出,显示于扩展区的二位数码管、DS94..DS101的LED上。
6、根据后边的“运算结果表”,改变K20(S0)、K21(S1)、K22(S2)、K23(S3)、K12(CN_I)的值,观察并记录运算器的输出。
例如:S0=0,S1=0,S2=0,S3=0,ALU的D7_D0 = 58H;FC、FZ、FS、I不变。
注意:只有按CON单元的STEP按键一次,产生一个T3的下降沿,ALU才将标志位FC、FZ、FS、I写入标志寄存器PSW中,才能在ALU单元的FZ、FC、FS、I指示灯上看到结果。
合肥工业大学2011年电路真题答案电路分析考研真题笔记期末试卷 (1)
本人有合肥工业大学电气学院强电专业的考研初试+复试资料。
QQ:1975672201网址:/【另有合工大材料学院、管理学院、机械汽车学院等其他学院大部分专业的齐全的专业课考研资料!】温馨提示:合工大的【电力电子与电力传动复试资料】:1、2011年复试全过程 -->专业课笔试+英语听力+综合素质面试+英语口语+加试笔试(电子版)2、 2010年和2011年专业课笔试真题的考试内容(电子版)3、合工大本科生《电力电子技术》上课课件(电子版)4、合工大本科生《电力电子技术》上课部分视频(电子版)5、《电力电子技术》课本的课后习题详细解答(电子版)6、《电力电子技术》真题4套(电子版)7、合工大本科生《电力电子技术》期末试卷3套(试卷上自带答案)(纸质版)8、合工大本科生《电机及拖动基础》上课课件(电子版)9、合工大教师本科生《电机及拖动基础》的上课教案(电子版)10、《电机及拖动基础》课程的主要内容(电子版)11、合工大本科生《电机及拖动基础》期末试卷3套(试卷上自带答案)(纸质版)12、电力电子专业所有笔试科目的考试重点(电子版)13、加试笔试所考科目的重点内容(电子版)【电力系统复试资料】:1、合工大本科生的《电力系统稳态分析》上课课件(电子档)2、合工大本科生的《电力系统暂态分析》试题题库,共10页,99题(含标准答案)(电子档)3、合工大本科生《电力系统分析》期末试卷3套(试卷上自带答案)4、合工大本科生的《继电保护》上课课件(电子档)【电机与电器复试资料】:1、2011年复试全过程 -->专业课笔试+英语听力+综合素质面试+英语口语+加试笔试(电子版)2、 2010年和2011年专业课笔试真题的考试内容(电力电子技术部分)(电子版)3、合工大本科生《电力电子技术》上课课件(电子版)4、合工大本科生《电力电子技术》上课部分视频(电子版)5、《电力电子技术》课本的课后习题详细解答(电子版)6、《电力电子技术》真题4套(电子版)7、合工大本科生《电力电子技术》期末试卷3套(试卷上自带答案)(纸质版)8、大部分笔试科目的考试重点(电子版)9、合工大本科生《电机学》上课课件(电子版)10、合工大本科生《电机学》期末试卷6套(其中2套有标准答案)(5套电子版,1套纸质版)11、合工大本科生《电机学》试题库(每道题都有标准答案),共44页(电子版)【电工理论与新技术复试资料】:1、2011年复试全过程 -->专业课笔试+英语听力+综合素质面试+英语口语+加试笔试(电子版)2、2010年和2011年专业课笔试真题的考试内容(电力电子技术部分)(电子版)3、合工大本科生《电力电子技术》上课课件(电子版)4、合工大本科生《电力电子技术》上课部分视频(电子版)5、《电力电子技术》课本的课后习题详细解答(电子版)6、《电力电子技术》真题4套(电子版)7、合工大本科生《电力电子技术》期末试卷3套(试卷上自带答案)(纸质版)8、大部分笔试科目的考试重点(电子版)9、合工大本科生《模拟电子技术》上课课件(电子版)10、《模拟电子技术》重要习题(电子版)11、合工大本科生《模拟电子技术》教学大纲(电子版)12、合工大本科生《模拟电子技术》实验教程(电子版)13、合工大本科生《数字电子技术》上课课件(电子版)14、《数字电子技术》重要习题(第1-4章)(电子版)15、合工大本科生《数字电子技术》教学大纲(电子版)16、合工大本科生《数字电子技术》实验教程(电子版)【电气工程(专硕)复试资料】:1、2011年复试全过程 -->专业课笔试+英语听力+综合素质面试+英语口语+加试笔试(电子版)2、2010年和2011年专业课笔试真题的考试内容(电力电子技术部分)(电子版)3、合工大本科生《电力电子技术》上课课件(电子版)4、合工大本科生《电力电子技术》上课部分视频(电子版)5、《电力电子技术》课本的课后习题详细解答(电子版)6、《电力电子技术》真题4套(电子版)7、合工大本科生《电力电子技术》期末试卷3套(试卷上自带答案)(纸质版)8、大部分笔试科目的考试重点(电子版)9、合工大本科生《模拟电子技术》上课课件(电子版)10、《模拟电子技术》重要习题(电子版)11、合工大本科生《模拟电子技术》教学大纲(电子版)12、合工大本科生《模拟电子技术》实验教程(电子版)13、合工大本科生《数字电子技术》上课课件(电子版)14、《数字电子技术》重要习题(第1-4章)(电子版)15、合工大本科生《数字电子技术》教学大纲(电子版)16、合工大本科生《数字电子技术》实验教程(电子版)。
自动控制原理实验报告——合肥工业大学
实验步骤:注:‘S ST’不能用“短路套”短接!(1)将信号发生器(B1)中的阶跃输出0/+5V作为系统的输入信号(Ui)。
(2)安置短路套、联线,构造模拟电路:(a)安置短路套(b)测孔联线(3)虚拟示波器(B3)的联接:示波器输入端CH1接到A6单元信号输出端OUT(Uo)。
注:CH1选‘X1’档,CH2置‘0’ 档。
(4)运行、观察、记录:按下信号发生器(B1)阶跃信号按钮时(0→+5V阶跃),用示波器观测A6输出端(Uo)的实际响应曲线Uo(t),且将结果记下。
改变比例参数(改变运算模拟单元A1的反馈电阻R1),重新观测结果,其实际阶跃响应曲线见表1-1-1。
当R1=200K的电路与相应曲线当R1=100K的电路与相应曲线2.观察惯性环节的阶跃响应曲线典型惯性环节模似电路如图1-1-2所示。
该环节在A1单元中分别选取反馈电容C =1uf、2uf来改变时间常数。
实验步骤:注:‘S ST’不能用“短路套”短接!(1)将信号发生器(B1)中的阶跃输出0/+5V作为系统的信号输入(Ui)。
(2)安置短路套、联线,构造模拟电路:(a)安置短路套(b)测孔联线(3)虚拟示波器(B3)的联接:示波器输入端CH1接到A6单元信号输出端OUT (Uo)。
注:CH1选‘X1’档,CH2置‘0’ 档。
(4)运行、观察、记录:按下信号发生器(B1)阶跃信号按钮时(0→+5V阶跃),用示波器观测A6输出端(Uo)的实际响应曲线Uo(t),且将结果记下。
改变时间常数(改变运算模拟单元A1的反馈反馈电容C),重新观测结果,其实际阶跃响应曲线见表1-1-1。
下图为实验电路以及示波器显示的波形C =1uf时的电路图与相应曲线示波器显示:当C =1uf时的电路图与相应曲线示波器显示:3.观察积分环节的阶跃响应曲线典型积分环节模似电路如图1-1-3所示。
该环节在A1单元中分别选取反馈电容C=1uf、2uf来改变时间常数。
实验步骤:(1)为了避免积分饱和,将函数发生器(B5)所产生的周期性方波信号(OUT),代替信号发生器(B1)中的阶跃输出0/+5V作为系统的信号输入(Ui):a.将函数发生器(B5)中的插针‘S ST’用短路套短接。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录 一. 摘要 二. EDA简介 三. Max+Plus2软件使用指导 (1)Max+Plus2使用练习 (2)3-8译码器 (3)用74161实现十进制加法器 (4)六十进制加法器计数器 (5)利用六十进制做出十二进制加法器计数器 (6)设计一个电路,使八个数码管依次显示0、1、2…A、B、… E、F。 (7)设计一个电路,使两个数码管显示1~12的十二进制计数, 两个数码管显示0~59的六十进制计数器 四. 实验设计:多功能数字电子钟 五. 结论 六. 参考文献 摘要 EDA技术是电力电子设计自动化技术,可以通过软件的方式实现硬件电路的实现。主要使用带电子电路中控制单元的设计,例如:多工能数字时钟的设计、数字式竞赛抢答器的设计、智能家电、电梯控制等。
Abstract EDA technology is the electronic design automation technology that can be achieved by software,hardware circuit implementation.Mainly used in the control unit to an electronic circuit design,such as: multifunction digit clock design,digit competition design,intelligent household appliances,elevator control.
关键词 EDA技术 电子设计自动化 EDA technology the electronic design automation technology
EDA简介 (1)EDA是电子设计自动化(Electronic Dedign Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 20世纪90年代,国际上电子和计算机技术较先进的国家一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大的成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性,这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大的改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动完成逻辑编译、简化、分割、综合、优化、布局、布线、和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率好可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或者PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。
(2)使用Maxplus2基本上也是有以上几个步骤,但可简化为:1.设计输入 2.设计编译 3.设计仿真 4.下载
Max+Plus2软件使用指导 1.实验一:设计与门电路,输入a和b,只有当a和b都为1时,y。才为1。
要求:Max+Plus2使用练习。完成一个简单门电路的设计输入、编译、仿真、实验验证。
实验心得:由此次实验让我大致掌握了Max+Plus2基本功能,如一个简单电路的设计输入、编译、仿真和下载,在实验过程中有出现一些错误,如线路没有连好,线路交叉时的节点问题都会使电路在最后编译的时候出现很多错误,在仿真的时候没有太大的问题产生,分配管脚时产生的错误也比较少,最后下载到电路板上运行。 实验总结:连线的时候应该左右拖动一下看看是否连接完好,在完成电路图的连接时不要忘记创建sym文件,若下次要用到这个图形方便调出。
2.实验二:3线8线译码器要求:做图形文件练习。做图1-2-6、图1-3-3,
不做图1-3-4,改做Z60.GDF(资料中),完成各个文件的设计输入、编译、仿真、管脚分配、下载、实验证。
实验心得:由此38译码器实验熟悉了大多数原件的名称和使用方法,因电路较复杂,所以使得编译时的错误率升高。
3.实验三:用74161实现十进制加法计数器
当q0和q3为1时进位。从而实现从0到9的十进制加法计数器。 4.实验四:六十进制计数器 当Q0、Q3、Q4、Q6为1时进位,实现从00到59的六十进制计数器
5.实验五:利用60进制做出12进制 当Q1和Q4为1时进位,实现从01到12的十二进制计数器。 6.实验六:设计一个电路。使八个数码管依次显示0、1、2…A、B、…E、F。 CLKD扫描频率从1HZ逐渐增加,数码管译码扫描越来越快,计数频率CLK1为 1HZ 扫描时钟CLK在某一周期内,3-8译码器输入扫描信号012SELSELSEL,译码器输出位控信号18MSMS,控制八位显示器开关管。此刻,只有一个显示器点亮。 四位八选一数据选择器根椐数据选择信号012SELSELSEL的数值从八路输入数据中选择一路数据(一位BCD码)送给BCD-七段显示译码器,通过BCD-七段显示译码器译成七段显示码,驱动七段显示器,显示具体内容。 在连续8个时钟周期内,八个显示器轮流点亮一个时钟周期。只要输入连续时钟CLK,就能实现八个显示器扫描显示。 利用人眼的视觉惯性,扫描频率应大于50HZ,根据计数器的分频关系,实际扫描频率CLK应大于200HZ左右。
7.实验七:设计一个电路,使两个数码管显示1~12的十二进制计数,两个数码管显示0~59的六十进制计数。
Z60和Z12分别是60进制和12进制的加法计数器,MUX8-1数据选择器通过SEL[2..0]来选择不同的数码管与之对应的数字,只有当SEL[2..0]为000,001, 010,011时有对应的输出数字,也就是数码管位1,位2,位3和位4有数字显示 RESET端为置零端,扫描脉冲的频率CLKD的频率大于200HZ,计数脉冲CLK1频率为1HZ。 设计题目 多功能数字电子钟 一、设计要求 设计一个能进行时、分、秒计时的12h制或者24h制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对时、分和秒进行手动调节,以校准时间,每逢整点,产生报时音报时。(设计提示:此设计问题可分为主控电路、计数器模块和扫描显示三大部分,其中计数器部分的设计是之前的实验内容,已经很熟悉,只要掌握六十进制、十二进制的计数规律,用同步计数或者异步计数都可以实现,扫描显示模块在实验后也有介绍,因此主控电路的设计是这个设计问题的关键。) 二、设计思路 根据系统设计要求,系统设计采用自顶向下设计方法,由时钟分频部分、计时部分、按键部分调时部分和显示部分五个部分组成。这些模块都放在一个顶层文件 1)时钟计数: 首先下载程序进行复位清零操作,电子钟从00:00:00计时开始。CLK3可以调整时钟的小时部分, CLK2可以调整分钟,步进为1。 由于电子钟的最小计时单位是1s,因此提供给系统的内部的时钟频率应该大于1Hz,这里取100Hz。CLK端连接外部10Hz的时钟输入信号CLK1。对CLK1进行计数,当CLK1到达一定时,秒加1,当秒加到60时,分加1;当分加到60时,时加1;当时加到24时,全部清0,从新计时。 用6位数码管分别显示“时”、“分”、“秒”,通过OUTPUT上的信号来点亮指定的LED七段显示数码管。 2)时间设置 : 手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键CLK4和CLK5键进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能: reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。
三.本数字钟的实现可分为以下几个模块:
(1) 秒计数模块:秒计数,在频率为1Hz的时钟下以60次为循环计数,并产生进位信号影响分计数;
(2) 分计数模块:分计数,在秒进位信号为高电平时,计数一次,同样以60次为一个循环计数,同时产生分进位信号影响时计数;
(3) 时计数模块:时计数,在分进位信号为高电平时,计数一次,以24次为一个循环计数;
(4)整点报时模块:当秒计数,分计数同时全为0时产生一个高电平使蜂鸣器产生震动;