哈工大自动控制原理大作业要求与题目

哈工大自动控制原理大作业要求与题目
哈工大自动控制原理大作业要求与题目

自动控制原理大作业要求与题目

(1)人工设计

利用半对数坐标纸手工绘制系统校正前后及校正装置的Bode图,并确定出校正装置的传递函数。验算校正后系统是否满足性能指标要求。

(2)计算机辅助设计

利用MATLAB语言对系统进行辅助设计、仿真和调试。

(3)确定校正装置的电路形式及其参数。

(4)撰写设计报告。具体内容包括如下五个部分。

1)设计任务书

2)设计过程人工设计过程包括计算数据、系统校正前后及校正装置的Bode 图(在半对数坐标纸上)、校正装置传递函数、性能指标验算数据。计算机辅助设计过程包括Simulink仿真框图、Bode图、阶跃响应曲线、性能指标要求的其他曲线。

3)校正装置电路图

4)设计结论

5)设计后的心得体会

1.参考图1所示的闭环控制系统。试设计一个超前校正装置,使得相位裕度为

45,幅值裕度不小于8分贝,稳态速度误差常数为4秒-1。利用MATLAB画出已校正系统的单位阶跃和单位斜坡响应曲线。

图1 题1所述闭环系统

2.考虑图2所示的系统。试设计一个校正装置,使得稳态速度误差常数等于50

秒-1,相位裕度为50,幅值裕度不小于8分贝。利用MATLAB画出已校正系统和未校正系统的单位阶跃和单位斜坡响应曲线。

图2 题2所述控制系统

3.考虑图3所示的卫星姿态控制系统。试设计一个校正装置,使得相位裕度为

45,幅值裕度大于或等于6分贝。利用MATLAB画出已校正系统的单位阶跃

和单位斜坡响应曲线。

图3 题3所述控制系统

4. 考虑图

4所示的系统。要求设计一个校正装置,使得稳态速度误差常数为4秒-1,相位裕度为50,幅值裕度大于或等于8分贝。利用MATLAB 画出已校正系统的单位阶跃和单位斜坡响应曲线。

图4 题4所述控制系统

5. 参考图5所示的系统。试设计一个滞后

-超前校正装置,使得稳态速度误差常

数为20秒-1,相位裕度为60,幅值裕度不小于8分贝。利用MATLAB 画出已校正系统的单位阶跃和单位斜坡响应曲线。

图5 题5所述控制系统

6. 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确跟踪参考输入的伺服系统。该系统的框图如图6所示。 (a ) 当c G ()s K =时,确定K 的合适取值,使系统的相位裕度为50,并请

估计此时的系统带宽;

(b)试设计一个合适的滞后校正网络,使系统相位裕度为

50,稳态速度

误差常数为2秒-1。

Y(s)

负载波形R(s)

参考输入

图6 题6所述控制系统框图

7.继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角

裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。

8.在德国柏林,磁悬浮列车已经开始试验运行,长度为1600m的M-Bahn号实

验线路系统代表了目前磁悬浮列车的发展水平。自动化的磁悬浮列车可以在较短的时间内正常运行,而且具有较高的能量利用率。车体悬浮控制系统的框图模型如图8所示,试设计一个合适的校正网络,使系统的相位裕度满足4555

γ

≤≤,并估算校正后系统的阶跃响应。

Y(s)

悬浮高度R(s)

输入指令

图8 题8中磁悬浮列车悬浮控制系统

9.在通常情况下,自动导航小车(AGV)是一种用来搬运物品的自动化设备。

大多数AGV都需要有某种形式的导轨,但迄今为止,还没有完全解决导航系统的驾驶稳定性问题。因此,自动导航小车在行驶过程中有时会出现轻微的“蛇行”现象,这表明导航系统还不稳定。

大多数的AGV在说明书中都声明其最大行驶速度可以达到1m/s,但实际速度通常只有0.5m/s,只有在干扰较小的实验室中,才能达到最高速度。随着速度的增加,要保证小车得稳定和平稳运行将变得越来越困难。

AGV的导航系统框图如图9所示,其中

12

=40ms=21ms

ττ

,。为使系统

响应斜坡输入的稳态误差仅为1%,要求系统的稳态速度误差系数为100。在忽略2τ的条件下,试设计超前校正网络,使系统的相位裕度满足

4565γ≤≤ 。

按相位裕度的两个极端情况设计系统后,计算并比较所得系统阶跃响应的超调量和调节时间。

()

R s ()

Y s

图9 题9中自动导航小车

10.继续考察题9给出的系统,试设计合适的滞后校正网络,试系统的相位裕度达到50,并估计校正后系统的超调量及峰值时间。

10

-210

-1

10

10

1

10

2

10-210-1100101102

哈工大卫星定位导航原理实验满分报告

卫星定位导航原理实验 班级:1105103班 学号:1110510304 姓名: 同组人: 2014年11月12日

实验一实时卫星位置解算及结果分析 一、实验原理 实时卫星位置解算在整个GPS接收机导航解算过程中占有重要的位置。卫星位置的解算是接收机导航解算(即解出本地接收机的纬度、经度、高度的三维位置)的基础。需要同时解算出至少四颗卫星的实时位置,才能最终确定接收机的三维位置。 对某一颗卫星进行实时位置的解算需要已知这颗卫星的星历和GPS时间。而星历和GPS 时间包含在速率为50比特/秒的导航电文中。导航电文与测距码(C/A码)共同调制L1载频后,由卫星发出。本地接收机相关接收到卫星发送的数据后,将导航电文解码得到导航数据。后续导航解算单元根据导航数据中提供的相应参数进行卫星位置解算、各种实时误差的消除、本地接收机位置解算以及定位精度因子(DOP)的计算等工作。关于各种实时误差的消除、本地接收机位置解算以及定位精度因子(DOP)的计算将在后续实验中陆续接触,这里不再赘述。 卫星的额定轨道周期是半个恒星日,或者说11小时58分钟2.05秒;各轨道接近于圆形,轨道半径(即从地球质心到卫星的额定距离)大约为26560km。由此可得卫星的平均角速度ω和平均的切向速度v s为: ω=2π/(11*3600+58*60+2.05)≈0.0001458rad/s (1.1) v s=rs*ω≈26560km*0.0001458≈3874m/s (1.2) 因此,卫星是在高速运动中的,根据GPS时间的不同以及卫星星历的不同(每颗卫星的星历两小时更新一次)可以解算出卫星的实时位置。本实验同时给出了根据当前星历推算出的卫星在11小时58分钟后的预测位置,以此来验证卫星的额定轨道周期。 本实验另一个重要的实验内容是对卫星进行相隔时间为1s的多点测量(本实验给出了三点),根据多个点的测量值,可以估计Doppler频移。 由于卫星与接收机有相对的径向运动,因此会产生Doppler效应,而出现频率偏移。Doppler频移的直接表现是接收机接收到的卫星信号不恰好在L1(1575.42MHz)频率点上,而是在L1频率上叠加了一个最大值为±5KHz左右的频率偏移,这就给前端相关器进行频域搜索,捕获卫星信号带来了困难。如果能够事先估计出大概的Doppler频偏,就会大大减小相关器捕获卫星信号的难度,缩短捕获卫星信号的时间,进而缩短接收机的启动时间。GPS 接收机的启动时间是衡量接收机性能好坏的重要参数之一,而卫星信号的快速捕获,缩短接收机的启动时间也是目前GNSS业界的热点问题。 本实验中Doppler频移的预测与后续《可视卫星位置预测》实验是紧密联系的,可视卫星位置预测中也包括对Doppler频移的预测。本实验将给出根据卫星位置和本地接收机的初始位置预测Doppler频移的方法。 有了卫星位置和本地接收机的初始位置,就可以根据空间两点间的距离公式,得出卫星距接收机的距离d。记录同一卫星在短时间t内经过的两点的空间坐标S1和S2,就可以分别得到这两点距接收机的距离d1和d2。只要相隔时间t取的较小(本实验取t=1s),|d1-d2|/t 就可以近似认为是卫星与接收机在t时间内的平均相对径向运动速度,再将此速度转换为频率的形式就可以得到大致的Doppler频移。 设本地接收机的初始位置为R(x r,y r,z r),记录的卫星两点空间坐标为S1(x1,y1,z1)、S2(x2,y2,z2),相隔时间为t,卫星与接收机平均相对径向运动速度为v d,光速为c,Doppler 频移为f d,则Doppler频移预测的具体公式如下所示: d1=[(x1-x r)2+(y1-yr)2+(z1-z r)2]1/2 (1.3) d2=[(x2-x r)2+(y2-y r)2+(z2-z r)2]1/2(1.4)

哈工大电工综合设计完整版

时间:2013春季学期班级:1108101学号:1110810104姓名:陈文华 11.驱动七段共阴极LED数码管的译码电路 一、设计要求: (1)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示H、O、P、E、F、U、L七个字母。 (2)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示1、1、0、8、1、0、1(或1108102、103、104)七个数字(根据自己的班级号)。 二、设计方案: 1.设计原理及设计方案选择 (1)a.本题目要求来自计数器的变量A、B、C,所以需要一计数器,使其八进制计数。A、B、C输出还不能直接接数码显示器,需要经过一译码器,将具有特定含义的二进制代码译成对应的输出信号,然后根据题目要求对译码器的输出进行逻辑运算,接到数码管显示。 b.根据学过的知识,对于计数模块,异步集成计数器74LS90和同步集成计数器74LS161都能实现要求,本设计采用的是异步集成计数器74LS90。通过接线方式的处理就可以实现八进制计数。 c.对于译码模块,采用的是3线—8线译码器74LS138。将计数器的ABC(D在本次设计中不需要接到输入)输出接到译码器的输入,经过译码器译成对应的输出信号,这样就可以对能实现要求的信号进行逻辑运算了。 为了实现设计要求,需根据要显示的内容和输出信号来进行逻辑运算,如下: 译码输出: C B A Y0Y1Y2Y3Y4Y5Y6Y7 00001111111 00110111111 010******** 01111101111 10011110111 10111111011 11011111101 11111111110 要显示的内容: a.显示H、O、P、E、F、U、L七个字母: a b c d e f g显示字形 0000000数码管全灭 0110111H 1111110O 1100111P

哈工大2008-2009年春季学期传热学试题A

哈工大08/09学年春季学期 一、名词解释(20分) 1、导热系数 2、热边界层 3、辐射强度 4、灰体 二、分析论述与回答问题(30分) 1、写出傅里叶导热定律表达式,并说明式中各量和符号的物理意义。 2、简述在对流传热研究中,引入边界层理论的意义。 3、写出努谢尔数Nu与毕渥数Bi的表达式并比较异同。 4、太阳能集热器采用选择性表面涂层,它对太阳辐射的吸收效率为0.9,它本身的 发射率为0.3,这一现象是否违背基尔霍夫定律?为什么? 5、厚度等于δ的常物性无限大平板,初始温度均为t0,过程开始后,左侧有一定热 流密度q w的热源加热,右侧与低温流体t f相接触(t0>t f),表面传热系数h等于常数,所有物性参数已知,写出改导热问题的数学描写。

三、如图所示的二维稳态导热物体,其导热系数λ为常数,边界面与环境发生对流换热, 环境温度为t F ,边界面对流换热表面传热系数为h ,网格划分如下图所示,试建立数值求解节点温度t 4,t 5,t 6的离散方程。 四、在一个特殊应用中,空气流过一个热的表面,其边界层温度分布可近似为 s s T-T =1-exp(Pr )T -T u y v ∞∞-,其y 是离开表面的垂直距离,普朗特数Pr =0.7u a ∞=是一个无量纲的流体物性。如果来流温度T 400K ∞=,表面温度s T 300K =,且-15000m u v ∞=,求表面热流密度是多少?(10分) (空气导热系数,330K 时,0.0263W/(m K)λ=?;400K 时,0.0339W/(m K)λ=?)

λ=?导热系数的热绝缘层,六、在太空中飞行的宇宙飞船,表面贴有厚0.15m、0.045W/(m K) 而外表面的黑度为0.04,设飞船内空气温度为20℃,空气与内壁间的对流换热系数为6W/(m.K), 试求飞船外表面的温度。(假设宇宙空间温度为0K;忽略飞船壁面的导热热阻)(15分)

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号: 5. 参考图5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60

度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃和单位斜坡响应曲线。 + 一.人工设计过程 1.计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(() 1)(1()(2 12 1T s T s T s T s K s G c c ββ++++= 于是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++= s s s s G

首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统是不稳定的。超前滞后校正装置设计的下一步是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s ,将新的增益穿越频率仍选为2rad/s ,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s ,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值, 对于超前校正,最大的超前相角m φ由下式确定 1 1 sin +-= ββφm 因此选)79.64(20 ==m φβ,那么,对应校正装置相角滞后部分的极点的转角频率为 )/(12T βω=就是01.0=ω,于是,超前滞后校正装置的相角滞后部分的传函为 1 1001 520 01.02.0++=++s s s s 相角超前部分:由图1知dB j G 10|)4.2(|=。因此,如果超前滞后校正装置在2=ωrad/s 处提供-10dB 的增益,新的增益穿越频率就是所期望的增益穿越频率。从这一要求出发,可 以画一条斜率为-20dB 且穿过(2rad/s ,-10dB )的直线。这条直线与0dB 和-26dB 线的交点就确定了转角频率。因此,超前部分的转角频率被确定为s rad s rad /10/5.021==ωω和。 因此,超前校正装置的超前部分传函为 )1 1.01 2(201105.0++=++s s s s 综合校正装置的超前与之后部分的传函,可以得到校正装置的传递函数)(S G c 。 即) 1100)(11.0() 15)(12(01.02.0105.0)(++++=++++= s s s s s s s s s G c 校正后系统的开环传递函数为

哈工大电工设计报告参考答案 2

2012秋季学期《电工技术I》大作业 (1108101~104) 班级: 1108103 学号: 姓名: 成绩:

继电接触器和可编程控制器综合设计题目 有一运料小车在A、B两地来回运行,其中A地为装料处,设有限位开关ST1,每次装料时间为30s;B地为卸料处,设有限位开关ST2,每次卸料时间为20s。 小车运行控制分手控操作和自控操作。 控制要求: (1)手动操作:能手动控制小车向A地运行或向B地运行。 (2)自控操作:当小车启动时,有一物料检测传感器检测小车料箱是否有料,如果有料,该传感器的常开触点闭合,小车自动向B地运行;如果无料,该传感器的常闭触点闭合,小车自动向A地运行。小车到达B地限位开关ST2处停车20s卸料,然后自动驶向A地;小车到达A地限位开关ST1处停车30s装料,然后再自动返回B地卸料。如此循环往复。 (3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。再次启动后,小车重复(2)中内容。 设计要求: (1)设计控制该小车运行的继电接触器控制电路(包括主电路和控制电路); (2)设计控制该小车运行的PLC控制梯形图程序并画出外部接线图(注意进行I/O分配)。 (3)写出综合设计报告。 限位开关限位开关

PLC控制梯形图如下:

I/O分配如下: 1、手动操作 无论小车是否运行,只要按下SB4,KM1将会通电,其常闭触点断开,常开触点闭合,如果此前KM2处于通电状态,这个时候,KM2也将会断电,其常闭触点也会闭合。从而KM1实现自锁,并向A点运行,直至按下SB1或触到行程开关ST1。此后如果不按下SB4或SB5,小车会处于自动运行状态。 2、自动操作 如果小车中有料,则KM闭合,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM2通电,并实现自锁与互锁。向B点运行。如果小车中无料,则KM 断开,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM1通电,并实现自锁与互锁。向A点运行。启动时,如果小车先向A点运行,到达A点后行程开关ST1的常开触点闭合,常闭触点断开。KM1断电的同时,KT1开始计时。30S后,常开延时闭合开关ST1闭合,同时小车中因为有料而KM0通电,小车开始往B点运行,并实现自锁与互锁。到达B点后,使行程开关ST2的常闭触点断开,常开触点闭合,小车停止运行,KT2开始计时。20S后,常开延时闭合开关ST2闭合,同时小车中因为无料而K断电,小车开始往A点运行,并实现自锁与互锁。从此在A、B间往复运行,除非对其手动控制或按下SB0。 3、停车控制 按下SB0即可实现。

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

哈工大导航原理大作业

《导航原理》作业 (惯性导航部分)

一、题目要求 A fighter equipped with SINS is initially at the position of ?35 NL ?122X G Y G Z G ,and three accelerometers, X A ,Y A ,Z A are installed along the axes b X ,b Y ,b Z of the body frame respectively. Case 1:stationary onboard test The body frame of the fighter initially coincides with the geographical frame, as shown in the figure, with its pitching axis b X pointing to the east,rolling axis b Y to the north, and azimuth axis b Z upward. Then the body of the fighter is made to rotate step by step relative to the geographical frame. (1) ?10around b X (2) ?30around b Y (3) ?50-around b Z After that, the body of the fighter stops rotating. You are required to compute the final output of the three accelerometers on the fighter, using both DCM and quaternion respectively,and ignoring the device errors. It is known that the magnitude of gravity acceleration is 2/8.9g s m =. Case 2:flight navigation Initially, the fighter is stationary on the motionless carrier with its board 25m above the sea level. Its pitching and rolling axes are both in the local horizon, and its rolling axis is ?45on the north by east, parallel with the runway onboard. Then the fighter accelerate along the runway and take off from the carrier. The output of the gyros and accelerometers are both pulse numbers,Each gyro pulse is an angular increment of sec arc 1.0-,and each accelerometer pulse is g 6e 1-,with 2/8.9g s m =.The gyro output frequency is 10 Hz,and

哈工大导航原理大作业

哈工大导航原理大作业-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

《导航原理》作业 (惯性导航部分)

一、题目要求 A fighter equipped with SINS is initially at the position of ?35 NL and ?122 EL,stationary on a motionless carrier. Three gyros X G ,Y G ,Z G ,and three accelerometers, X A ,Y A ,Z A are installed along the axes b X ,b Y ,b Z of the body frame respectively. Case 1:stationary onboard test The body frame of the fighter initially coincides with the geographical frame, as shown in the figure, with its pitching axis b X pointing to the east,rolling axis b Y to the north, and azimuth axis b Z upward. Then the body of the fighter is made to rotate step by step relative to the geographical frame. (1) ?10around b X (2) ?30around b Y (3) ?50-around b Z After that, the body of the fighter stops rotating. You are required to compute the final output of the three accelerometers on the fighter, using both DCM and quaternion respectively,and ignoring the device errors. It is known that the magnitude of gravity acceleration is 2/8.9g s m =. Case 2:flight navigation Initially, the fighter is stationary on the motionless carrier with its board 25m above the sea level. Its pitching and rolling axes are both in the local horizon, and its rolling axis is ?45on the north by east, parallel with the runway onboard. Then the fighter accelerate along the runway and take off from the carrier. The output of the gyros and accelerometers are both pulse numbers,Each gyro pulse is an angular increment of sec arc 1.0-,and each accelerometer pulse is g 6e 1-,with 2/8.9g s m =.The gyro output frequency is 10 Hz,and the accelerometer ’s is 1Hz. The output of gyros and accelerometers within 5400s are stored in MATLAB data files named gout.mat and aout.mat, containing matrices gm of 35400? and am of 35400? respectively. The format of data as shown in the tables, with 10 rows of each matrix selected. Each row represents the out of the type of sensors at each sample time.

传热学

镁合金激光-TIG复合热源焊接热源模型 学院:材料学院 专业:材料加工工程 学号: 姓名: 指导教师: 江苏科技大学 2015年4 月11 日

镁合金激光—TIG复合焊接热源模型与热过程 1 前言 镁合金被称为“21世纪绿色工程材料”。镁合金是目前被国内外重新认识并积极开发的一种轻量化材料,具有低密度、高比强度、阻尼减震性好、易机械加工以及良好的可回收性等优点。高效合理的镁合金焊接方法将大大推动镁合金的发展与应用。激光--电弧复合热源焊接具有高速、高效、接头质量优异等特点,目前正在被国内外的研究者日益关注。对这一过程的焊接数值模拟研究有助于更深层次地理解过程的物理机制,从而实现指导焊接工艺、控制焊接质量的目的。目前,YAG激光--TIG复合热源焊接AE31B镁合金已经被证明是一种可行而且高质量的焊接工艺[1], 迫切需要数值模拟工作对这一过程进行指导,并通过数值模拟更深层次的理解复合热源焊接这一过程。 但目前复合热源的数值模拟工作开展的却非常有限。其中一个主要原因是复合热源焊接热源模型一直解决得。首先,高能束激光焊接的热源模型虽然经过线热源、面热源、柱状热源乃至双椭球体热源的变迁,始终没有得到很好的解决; 其次激光、电弧两热源之间存在着一定的物理机制, 需要考虑热源之间的能量影响关系。 在复合热源焊接工艺研究的基础上,结合镁合金材料特点,建立了基于旋转高斯体热源与高斯面热源相结合的复合热源模型:高能束激光热源由旋转高斯体热源描述;TIG电弧则由高斯面热源描述。热源模型的建立充分考虑了过程的物理特点与热源间的能量增强效应。 1.1激光--电弧复合热源焊接概况 激光--TIG电弧复合热源焊接的特点是YAG激光、TIG电弧这两种不同物理性质与能量传输机制的热源同时作用于焊接区。这种方法克服了单独采用激光和单独采用TIG电弧焊接的缺点,并且两种热源相互藕合获得了更大能量形式。其原理如图1.1。其在实践中的优点却是非常明显的:速度快,桥接能力强,焊接变形小,焊接过程稳定,焊接质量和效率高等[2-4]。

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大1系自动控制原理大作业

哈工大自动控制原理大作业

一、设计任务: 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际 工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确 跟踪参考输入的伺服系统。该系统的框图如图所示。 7. 继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。 二、设计过程: 原传递函数 ()042 (1)(2)(1)(1)2 G s s s s s s s = = ++++ 转折频率为11ω=和22ω=,剪切频率122c ωωω==,画出Bode 图如下:

系统的相位裕度2 18090arctan 2arctan 02 γ=---= 为了满足相位裕度50γ≥ 的条件,需要对系统进行超前补偿。由于要求稳态速度误差常数为2秒-1,所以放大系数K=2,即K 保持不变。 取50γ= ,11 1.3sin sin 50r M γ= == 2 2 1.5(1) 2.5(1)s r r c t M M πω??= +-+-??且要求s t 小于四秒。求得 2.1c ω≥,Mr Mr c 12-≤ωω知50.02≤ω。所以根据设计要求50.02≤ω在Bode 图上进行设计, 取2.02=ω(为了计算方便)求得串联超前校正环节传递函数110 12.0)(++=s s s Gc 并且作图如下:

补偿之后的系统传递函数为) 110 )(12)(1()12.0( 2)()()(++++==s s s s s s Go s Gc s G 相位裕度 18090arctan 22.5arctan 4.5arctan 2.25arctan 0.4150.21γ=-+---= 1 1.3sin 50.21 r M = = ,22 1.5(1) 2.5(1) 3.82s r r c t M M s πω??=+-+-=?? 均满足设计条件。 2、计算机辅助设计: (1)校正前伯德图

哈工大传热学作业答案

一维非稳态导热计算 4-15、一直径为1cm,长4cm 的钢制圆柱形肋片,初始温度为25℃,其后,肋基温度突然升高到200℃,同时温度为25℃的气流横向掠过该肋片,肋端及两侧的表面传热系数均为 100。试将该肋片等分成两段(见附图),并用有 限差分法显式格式计算从开始加热时刻起相邻4个时刻上的温度分布(以稳定性条件所允许的时间间隔计算依据)。已知=43W/(m.K),。(提示:节点4的离散方程可按端面的对流散热与从节点3到节点4的导热相平衡这一条件列出)。 解:三个节点的离散方程为: 节点2: 节点3: 节点4: 。 以上三式可化简为: 稳定性要求,即 。 ,代入得: , 如取此值为计算步长,则: ,。 于是以上三式化成为: )./(2 K m W λs m a /10333.12 5 -?=()()12223212222/2444k k k k k k k f t t t t t t d d d d x h t t c x x x πππλλπρτ+????????---++?-=?? ? ? ? ???????????? ()()12224323333/2444k k k k k k k f t t t t t t d d d d x h t t c x x x πππλλπρτ+????????---++?-=?? ? ? ? ???????????? () 22344/244k k k f t t d d h t t x ππλ????-=- ? ?????? 12132222 43421k k f a a h a h t t t t t x x cd x cd τττττρρ+????????????? =+++-- ? ? ? ????????????13243222 43421k k f a a h a h t t t t t x x cd x cd τττττρρ+????????????? =+++-- ? ? ? ??????????? ?()4322k k f xh t t xht λλ+?=+?2 3410a h x cd ττ ρ??- -≥?2341/a h x cd τρ???≤+ ????5 54332.25810 1.33310c a λρ-===??5253 1.33310410011/8.898770.020.013 2.258100.0999750.0124s τ-??????≤+== ???+??5221.333108.898770.29660.02a x τ-???==?5441008.898770.110332.258100.01h cd τρ???==??1132 20.29660.29660.1103k k f t t t t +?++=12430.29660.296620.1103k k k f t t t t ++?+=34 0.97730.0227k k f t t t +=

哈工大自动控制原理大作业

自动控制原理大作业 1.题目 在通常情况下,自动导航小车(AGV )是一种用来搬运物品的自动化设备。大多数AGV 都需要有某种形式的导轨,但迄今为止,还没有完全解决导航系统的驾驶稳定性问题。因此,自动导航小车在行驶过程中有时会出现轻微的“蛇行”现象,这表明导航系统还不稳定。 大多数的AGV 在说明书中都声明其最大行驶速度可以达到1m/s ,但实际速度通常只有0.5m/s ,只有在干扰较小的实验室中,才能达到最高速度。随着速度的增加,要保证小车得稳定和平稳运行将变得越来越困难。 AGV 的导航系统框图如图9所示,其中12=40ms =21ms ττ, 。为使系统响应斜坡输入的稳态误差仅为1%,要求系统的稳态速度误差系数为100。试设计合适的滞后校正网络,试系统的相位裕度达到50o ,并估计校正后系统的超调量及峰值时间。 ()R s () Y s 2.分析与校正主要过程

2.1确定开环放大倍数K 100) 1021.0)(104.0(lim )(lim =++==s s s sK s sG K v (s →0) 解得K=100 ) 1021.0)(104.0(100++=s s s G s 2.2分析未校正系统的频域特性 根据Bode 图: 穿越频率s rad c /2.49=ω 相位裕度?---=?-?--=99.18)2.49021.0(arctan )2.4904.0(arctan 9018011γ 未校正系统频率特性曲线

由图可知实际穿越频率为s rad c /5.34=ω 2.3根据相角裕度的要求选择校正后的穿越频率1c ω 现在进行计算: ???--=+=---55550)021.0(arctan )04.0(arctan 901801111c c ωω 则取s rad c /101=ω可满足要求 2.4确定滞后校正网络的校正函数 由于1120 1~101c ωω)(= 因此取s rad c /1101 11== ωω)(,则由Bode 图可以列出

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

相关文档
最新文档