FPGA显示译码电路实验报告

合集下载

译码显示电路实验报告

译码显示电路实验报告

一、实验目的1. 熟悉译码显示电路的基本原理和组成;2. 掌握译码器和显示器的功能及使用方法;3. 通过实验,验证译码显示电路的工作性能;4. 培养动手实践能力和团队协作精神。

二、实验原理译码显示电路是一种将数字信号转换为可直观显示的图形或字符的电路。

它主要由译码器和显示器两部分组成。

译码器将输入的数字信号转换为对应的控制信号,显示器则根据这些控制信号显示相应的图形或字符。

1. 译码器:译码器是一种多输入、多输出的组合逻辑电路,其作用是将输入的二进制代码转换为输出的一组控制信号。

常见的译码器有二进制译码器、十进制译码器等。

2. 显示器:显示器用于显示译码器输出的控制信号。

常见的显示器有七段显示器、液晶显示器等。

本实验采用七段显示器,它由七个独立的段组成,通过控制每个段的亮与灭,可以显示0-9的数字以及其他符号。

三、实验仪器与器材1. 实验箱;2. 译码器(例如:74LS47);3. 显示器(例如:七段显示器);4. 连接线;5. 示波器(可选);6. 电源。

四、实验步骤1. 熟悉实验箱和实验器材,了解译码器和显示器的功能及使用方法。

2. 按照实验原理图连接译码器和显示器,确保连接正确无误。

3. 在译码器输入端输入二进制代码,观察显示器是否按照预期显示相应的数字或符号。

4. 调整译码器的输入代码,验证译码器的工作性能。

5. (可选)使用示波器观察译码器和显示器的信号波形,进一步分析电路工作原理。

6. 记录实验数据,撰写实验报告。

五、实验结果与分析1. 当译码器输入端输入二进制代码时,显示器按照预期显示相应的数字或符号。

2. 调整译码器的输入代码,显示器能够正确显示相应的数字或符号。

3. 通过实验,验证了译码显示电路的基本原理和组成,掌握了译码器和显示器的功能及使用方法。

4. 在实验过程中,注意观察译码器和显示器的信号波形,有助于理解电路工作原理。

六、实验总结1. 本实验成功实现了译码显示电路的基本功能,验证了译码器和显示器的工作性能。

FPGA_计数与译码显示单元的设计

FPGA_计数与译码显示单元的设计

实验报告
电子班倪佳华 222009315220022
一.实验名称
原理图输入法进行计数与译码显示单元的设计
二.实验目的
1)掌握元件例化基本方法
2)加深原理图的绘制
三.实验原理
利用原有的led_decoder.vhd的VHDL语言文本设计文件生成
原理图符号,并调用Quartus II提供的库资源中的元件计数器
74160,完成设计与译码器相结合的设计。

四.实验过程
1)在实验2的led_decoder工程的基础下进行
2)新建原理图文件,放置元件,结果见图表1:
图表1
3)编译工程,查看RTL,见图表2
4)创建波形仿真文件,进行仿真,查看结果见图表3:
图表3
五.实验总结
注意事项:
(1)在一个工程中有多个实体的时候,在编译的时候要设置顶层实体为希望编译的那个
(2)多个波形仿真文件存在时,也要进行选择。

FPGA_数码管译码

FPGA_数码管译码

实验报告
一.实验名称
七段数码管译码显示
二.实验目的
1)学会VHDL语言的数组使用方法
2)理解进程和结构体的概念
3)熟悉七段数码管的显示方式
三.实验原理
1)数码管结构图
2)七段数码管使用方式
LED数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。

这些段分别由字母a,b,c,d,e,f,g来表示。

当数码管特定的段加上电压后,这些特定的段就会发亮,以形成我们眼睛看到的字样了。

四.实验过程
1)创建工程
2)新建VHDL文件,输入程序,结果见图表1:
图表 1
3)编译工程,查看RTL,见图表2
图表2
4)创建波形仿真文件,设置DIN的波形,进行仿真,查看结果见图表3:
4)引脚分配,见图表4
图表4
5)下载到试验箱,看结果
结果符合预期。

五.实验总结
注意事项:
1)Case语句要放在process中
2)Process()括号中最好写全在进程中涉及到的所有输入输出端口名
3)波形仿真的时候,设置端口波形时可用Count Value穷举。

译码显示电路试验报告

译码显示电路试验报告

译码显示电路试验报告译码显示电路试验报告一、试验目标本试验主要目标是设计并实现一个译码显示电路,该电路接收一组二进制编码信号,并将其转换为对应的七段数码管显示输出,以实现数字的直观显示。

二、试验原理译码显示电路的核心原理是利用编码器将数字信号转换为二进制编码,再利用译码器将二进制编码转换对应的七段数码管点亮,以显示数字。

其中,七段数码管由七个独立的LED段(A、B、C、D、E、F、G)组成。

三、硬件设计1.编码器:采用4-to-16编码器,将4位二进制数转换为16位输出,以实现对输入信号的编码。

2.译码器:采用7-to-8译码器,将8位二进制数转换为7段数码管的输出,以实现对七段数码管的点亮。

3.数码管:采用共阳极七段数码管,接收译码器的输出信号,以显示相应的数字。

四、软件设计本试验采用Verilog HDL语言进行编程设计。

1.编码器模块:通过输入的4位二进制数,控制编码器的输出。

2.译码器模块:通过译码器将编码器的输出转换为七段数码管的输出。

3.数码管模块:通过驱动数码管的7个LED段,实现数字的显示。

五、测试与分析1.测试方法:通过改变输入的4位二进制数,观察数码管显示的数字是否正确。

2.测试结果与分析:对所有可能输入进行测试,均得到了正确显示结果,验证了电路的正确性。

六、结论本试验成功设计并实现了一个译码显示电路,该电路可以将4位二进制数转换为对应的七段数码管显示输出,实现了数字的直观显示。

本试验中,硬件设计合理,软件设计也达到了预期的目标。

但是,由于硬件设备的限制,本试验未能对更高位数的译码显示电路进行设计和测试。

在未来的工作中,我们建议进一步扩展电路的设计,以实现对更高位数数字的译码显示。

七、建议与展望本试验虽然已经实现了一个相对简单的译码显示电路,但是在实际应用中可能还需要进行一些改进和优化。

以下是对未来工作的建议和展望:1.考虑采用更先进的数字芯片技术,以提高电路的稳定性和可靠性。

显示译码电路实验报告

显示译码电路实验报告

显示译码电路实验报告显示译码电路实验报告引言:在现代电子技术领域,显示译码电路扮演着重要的角色。

它们可以将数字信号转换为人们可以理解的可视化信息,广泛应用于计算机、电视、手机等设备中。

本实验旨在通过搭建一个显示译码电路,探索其原理和应用。

一、实验目的本实验的目的是了解显示译码电路的工作原理,掌握其基本应用。

通过实践操作,学生们可以更好地理解数字电路的运行机制,提高实际动手能力。

二、实验材料和器件1. 74LS47芯片:这是一种BCD-7段译码器,用于将4位二进制输入转换为7段数码管的输出。

2. 7段数码管:用于显示数字和字母等字符。

3. 连接线、电源等辅助器件。

三、实验步骤1. 连接电路:将74LS47芯片与7段数码管通过连接线连接起来,确保电路连接正确无误。

2. 施加电源:将电路连接到适当的电源上,确保电压和电流符合芯片的工作要求。

3. 输入信号:通过开关或其他输入设备提供4位二进制输入信号。

4. 观察结果:观察7段数码管上显示的字符是否与输入信号对应,验证译码电路的正确性。

四、实验结果与分析经过实验操作,我们成功搭建了显示译码电路,并进行了测试。

在输入4位二进制数的情况下,数码管正确显示了对应的字符。

这表明译码电路能够准确地将二进制信号转换为可视化的字符信息。

通过进一步的观察和分析,我们发现译码电路的工作原理是将输入的二进制数映射到对应的数码管段上。

每个数码管段代表一个二进制位,通过控制该段的通断状态,可以显示不同的字符。

而74LS47芯片则起到了译码的作用,将二进制输入转换为对应的数码管段控制信号。

这种显示译码电路广泛应用于各种计算机和电子设备中。

它使得数字信息可以以更加直观和易读的方式展示给用户,提高了人机交互的效率和便利性。

例如,在计算机屏幕上显示的字符、数字时钟、电子秤等设备都使用了类似的译码电路。

五、实验总结通过本次实验,我们深入了解了显示译码电路的工作原理和应用。

通过实际操作,我们掌握了搭建和测试译码电路的方法,提高了动手实践能力。

译码显示器的实训报告

译码显示器的实训报告

一、实训背景随着科技的飞速发展,电子技术在各个领域中的应用越来越广泛。

译码显示器作为电子显示设备的重要组成部分,广泛应用于工业控制、汽车仪表、家用电器等领域。

为了更好地掌握译码显示器的原理和制作方法,我们进行了为期两周的译码显示器实训。

二、实训目的1. 理解译码显示器的原理和组成。

2. 掌握译码显示器的电路设计方法。

3. 学会译码显示器的制作和调试。

4. 提高动手实践能力和创新意识。

三、实训内容1. 译码显示器原理及组成译码显示器主要由译码器、显示器和驱动电路组成。

译码器将输入的信号转换为显示器所需的信号,显示器根据接收到的信号显示相应的数字或字符。

驱动电路负责将译码器输出的信号转换为显示器所需的电压和电流。

2. 译码器电路设计本次实训采用共阳极LED显示器,选用74HC4511B作为译码器。

电路设计如下:(1)输入端连接微控制器,用于输入需要显示的数字或字符。

(2)译码器输出端连接显示器,分别连接显示器的8个段码引脚。

(3)驱动电路采用ULN2003,用于驱动显示器。

3. 显示器电路设计显示器采用共阳极LED显示器,共阳极LED显示器的特点是所有段码引脚连接在一起,共同连接到正电源。

电路设计如下:(1)显示器8个段码引脚分别连接到译码器的输出端。

(2)显示器共阳极引脚连接到正电源。

(3)显示器负极引脚连接到地。

4. 驱动电路设计驱动电路采用ULN2003,用于驱动显示器。

电路设计如下:(1)ULN2003的输入端连接译码器的输出端。

(2)ULN2003的输出端连接显示器的段码引脚。

(3)ULN2003的供电电压为5V。

四、实训过程1. 电路搭建按照设计好的电路图,使用焊接工具将各个元件焊接在一起,包括译码器、显示器、驱动电路等。

2. 电路调试将微控制器连接到译码器的输入端,使用示波器观察译码器的输出波形,确保译码器正常工作。

然后观察显示器的显示效果,检查是否有异常。

3. 功能测试输入不同的数字或字符,观察显示器的显示效果,确保译码显示器能够正确显示。

FPGA实验二2-4译码器设计实验报告原版

FPGA实验二2-4译码器设计实验报告原版

FPGA实验⼆2-4译码器设计实验报告原版得分:数字系统设计课程实验实验⼆2-4译码器设计1、⽤CSAE语句进⾏2-4译码器设计程序设计library ieee;use ieee.std_logic_1164.all;entity decoder24isport(a:in std_logic_vector(1downto0);--定义输⼊信号a(1..0) s:out std_logic_vector(3downto0));--定义输出信号s(3..0) end decoder24;architecture behave of decoder24isbeginprocess(a)begincase a iswhen"00"=>s<="1110";--当a=00时,s(0)=0 when"01"=>s<="1101";--当a=01时,s(1)=0 when"10"=>s<="1011";--当a=10时,s(2)=0 when"11"=>s<="0111";--当a=11时,s(3)=0when others=>null;end case;end process;end behave;仿真结果a s(填灯亮和灭)a(1)a(2)LED2LED3LED4LED5 00灭灭灭亮01灭灭亮灭10灭亮灭灭11亮灭灭灭下载后LED2,6,7亮按下up后LED4,6,7亮2、⽤IF语句进⾏2-4译码器设计程序设计library ieee;use ieee.std_logic_1164.all;entity d24isport(a:in std_logic_vector(1downto0);--定义输⼊信号a(1..0) s:out std_logic_vector(3downto0));--定义输出信号s(3..0) end d24;architecture behave of d24isbeginprocess(a)beginif a="00"then s<=(0=>'0',others=>'1');--当a=00时,s(0)=0 elsif a="01"then s<=(1=>'0',others=>'1');--当a=01时,s(1)=0 elsifa="10"then s<=(2=>'0',others=>'1');--当a=10时,s(2)=0 elsif a="11"then s<=(3=>'0',others=>'1');--当a=11时,s(3)=0 else s<= (others=>'0');end if;end process;end behave;仿真结果a s(填灯亮和灭)a(1)a(2)LED2LED3LED4(pin68)LED5 00灭灭灭亮01灭灭亮灭10灭亮灭灭11亮灭灭灭引脚配置如表:端⼝引脚实验板上名称端⼝引脚实验板上名称端⼝引脚实验板上名称a(1)132up s(3)64LED2s(1)68LED4 a(0)131left s(2)67LED3s(0)69LED5实验总结:由于有了之前实验的操作基础,所以在做这个实验时遇到的阻⼒不⼤,⽐较顺利的完成了。

显示译码电路实验报告

显示译码电路实验报告

一、实验目的1. 理解并掌握显示译码电路的基本原理和工作方式。

2. 学习使用常用的显示译码器芯片,如BCD-7段译码器。

3. 通过实验验证译码器与数码管连接的正确性,并实现数字信号的显示。

4. 提高动手实践能力,加深对数字电路知识的理解和应用。

二、实验原理显示译码电路是数字电路中一种重要的组合逻辑电路,其作用是将输入的二进制或BCD码信号转换为对应的七段LED显示信号。

常见的七段显示器有共阴极和共阳极两种,本实验采用共阴极显示器。

译码器的主要功能是将输入的二进制或BCD码转换为对应的七段显示码。

以BCD-7段译码器为例,其输入为4位BCD码,输出为7个控制信号,分别对应七段LED显示器的7个段。

当输入为0000~1001时,译码器输出相应的段码,使得数码管显示0~9的数字。

三、实验器材1. 数字逻辑实验箱2. 74LS47 BCD-7段译码器3. 共阴极七段数码管4. 连接线5. 电源6. 示波器(可选)四、实验步骤1. 搭建电路根据实验电路图,将74LS47 BCD-7段译码器与共阴极七段数码管连接。

将译码器的输入端A、B、C、D分别连接到实验箱上的数字信号源,输出端a、b、c、d、e、f、g连接到数码管的相应段。

2. 测试电路将实验箱上的数字信号源设置为BCD码输入,依次输入0000~1001,观察数码管显示的数字。

若显示不正确,检查电路连接是否正确,包括译码器、数码管、信号源等。

3. 调试电路若显示不正确,根据译码器的工作原理,分析可能的原因,如译码器芯片损坏、电路连接错误等。

通过排除法,逐步调试电路,直至数码管显示正确。

4. 实验数据记录记录实验过程中数码管的显示结果,并与理论计算结果进行对比。

五、实验结果与分析1. 实验结果通过实验,数码管成功显示了0~9的数字,验证了显示译码电路的正确性。

2. 实验分析实验过程中,通过观察数码管显示结果,发现译码器芯片、电路连接等均正常。

实验结果表明,显示译码电路能够将输入的BCD码转换为对应的七段显示信号,实现数字信号的显示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

FPGA显示译码电路实验报告
实验课程名称:FPGA应用开发实验实验项目名称:显示译码电路
班级:
姓名:学号:
成绩:________________
一、实验目的
1.实现常见英语字母显示。

2.实现十六进制计数显示。

3.加深PLD设计的过程,并比较原理图输入和文本输入的优劣。

二、实验原理
(1)显示简单字符
七段数码管显示电路如下图所示:
参考原理图:
图中包含一个七段解码器模块,c2~c0是解码器的3个输入,
当输入值不同时,输出不同的字符。

如表中所示,当输入值为100~111时,输出空格,即数码管全暗。

七段数码管的不同段位用数字0~6表示,注意七段数码管是共阳极的,即各管段输入低电平时,数码管亮;否则数码管暗。

(2)显示0~9数字
在完成简单字符显示电路之后,设计一个用于显示0~9数字的七段数码管电路。

电路图如下图所示,c3~c0是七段数码器的输入,当输入0000~1001时,则输出0~9,如表中所示;当输入1010~1111时,输出空格。

参考原理图:
(3)循环显示4个字符
电路的工作原理是,输入端U、V、W和X的输入值分别是000、001、010和011,通过s1和s0选择四个输入端其中一个作为七段解码器的输入值,从而显示H、L、E和O任一字符。

参考原理图:
三、实验步骤
(1)显示简单字符
<1>VHDL硬件描述语言为:
<2>功能仿真:
<3>时序仿真:
<4>引脚分配:
<5>程序下载:
<1>VHDL硬件描述语言为:
<2>功能仿真:
<3>时序仿真:
<4>引脚分配:
<5>程序下载:
在DE2上验证,拨动开关,能显示数字0-9,实验结果与设计要求一致。

(3)循环显示4个字符
<1>VHDL硬件描述语言:
<2>功能仿真:
<3>时序仿真:
<4>引脚分配:
<5>程序下载:
在DE2上验证,能够循环显示四个字符,实验结果与设计要求一致。

四、实验小结
通过这次实验,我基本掌握了用VHDL硬件描述语言来实现显示译码电路,并能够在DE2上显示数字和字母,并能通过开关来控制显示的数字和字母,还能实现数字的循环显示,同时我还学会了如何生成元件。

还有通过这几次的实验我更加熟练的掌握了FPGA的基本实验步骤。

当然实验中也遇到了一些问题,比如怎样创建一个符号,后来在老师的提示下得到了解决。

总之,这次试验让我受益匪浅。

相关文档
最新文档