高等院校数字逻辑习题四

高等院校数字逻辑习题四

第四章组合逻辑电路

习题四

4.1填空题

1.常用的组合电路的逻辑功能表示方法有、、逻辑图和等四种。

2.将含有特定意义的数字或符号信息用二进制代码表示的过程称为__________,实现该功能的电路称为_____________。

3.将二进制代码表示的信息翻译出来的过程称为_____________,实现该功能的电路称为____________。

4.将多路输入数据在_____________信号的控制下,有选择地传送到输出端的过程称为数据选择,实现数据选择功能的电路称为

_________________。

5.对于优先编码器,当一位以上输入同时有效时,只对

___________________的输入进行编码。

6.译码器除具有译码功能外,还可构成_____________。

7.数据选择器除具有数据选择的功能外,还可以构成___________和实现_______________功能。

8.能实现对两个n位二进制数进行比较并判断其大小关系的逻辑电路称为数据比较器。对两个n位二进制数的比较过程是由______位到

______位逐位进行比较的。9.格雷码的的特点是任意两个相邻码只有位不同。

10.译码是编码的,即是将每个代码的的过程。11.判别一个电路中是否存在冒险现象的方法有和两种。12.(011010000010)8421BCD=()5421BCD=()余3码

13.试列举出五种常用的集成组合逻辑部件,、、、、

14.n个输入端的二进制译码器,共有个输出端。对于每一组输入代码,有个输出端为有效电平。

15.数据选择器是一种输入、输出的逻辑部件;数据分配器是一种输入、输出的逻辑部件。

16.给68个字符编码,至少需要位二进制数。

95

第四章组合逻辑电路

17.一位加法器有和两种。

18.实际组合逻辑电路中,门电路存在延时,使信号经过不同路径到达同一点时会产生时差,这种时差称为_____________。它有可能使电路的输出产生错误,这种使电路产生的错误输出的现象称为组合逻辑电路的_____________,消除这一问题的方法有、、

19.四选一数据选择器,AB为地址信号,D0=D3=1,D1=C,D2=C,当AB=00时,输出F=;当AB=10时,输出F=

20.十进制数(56)10转换为二进制数为,它的8421BCD码为,5421BCD码为,2421BCD码为

21.8421BCD码为(00110100)8421BCD的十进制数是,它转换为二进制数为

22.常用的有权码编码中,如3321,8421,2421,4421编码方式唯一的是4.2选择题

1.组合逻辑电路的特点是()

A、含有记忆元件

B、输出、输入间有反馈通路

C、电路输出与以前状态有关

D、全部由门电路构成

2.已知各组合电路的输出F1——F4,的函数表达式分别为:

F1=AB+AC

——

F2=AB+ACD+BC,F3=AB+BC,F4=(A+B)(A+C),则不会产生竞争冒险的电路是()

A、电路1

B、电路2

C、电路3

D、电路43.下列器件中,属于组合电路的有()

A、计数器和全加器

B、寄存器和比较器]

C、全加器和比较器

D、计数器和寄存器4.十六选一数据选择器有()位地址(选择)输入端。

A、1

B、2

C、4

D、85.八路数据分配器,其地址输入端有()个

96

———————

第四章组合逻辑电路

A、4

B、3

C、2

D、1

——

6.函数F=+AC+AB+BC,当变量取值为(),不会出现冒险现象。

A、B=C=1

B、B=C=0

C、A=1,C=0

D、A=B=07.()码的特点是相邻两个代码之间仅有一位不同。

A、BCD

B、余3

C、奇偶校验

D、格雷8.与(19)10相对应的余3BCD 码是()

A、(00101100)余3BCD

B、(01001100)余3BCD

C、(00110101)余3BCD

D、(01011010)余3BCD9.(36.7)10的8421BCD码为()

A、(0110110.101)8421BCD

B、(0011110.1110)8421BCD

C、(00110110.0111)8421BCD

D、(110110.111)8421BCD10.在BCD码中,属于有权码的编码是()

A、余3码

B、循环码

C、格雷码

D、8421码11.用四选一数据选择器实现函数YA1A0A1A0,应使()

A、D0=D2=0,D1=D3=1

B、D0=D2=1,D1=D3=0

C、D0=D1=0,D2=D3=1

D、D0=D1=1,D2=D3=012.一个译码器若有100个译码输出端,则译码输入端应有()

A、5个

B、6个

C、7个

D、8个4.3判断题

1.二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路(2.优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效(3.74LS147编码器要求任一时刻只允许一个输入端有信号()4.5421BCD码属于有权码,但编码方式不唯一()5.逻辑函数YABBCAC 不存在冒险现象()

)97

)第四章组合逻辑电路

6.逻辑函数YABBCAC可以用与非门实现()7.余3BCD码是无权码()

8.组合电路在逻辑功能上的特点是,任一时刻的输出,仅仅取决与该时刻的输入信号,而与输入信号作用前电路的历史状态无关()。9.组合逻辑电路中,数据选择器可实现并行数据向串行数据的转换()10.组合逻辑电路输出、输入间有反馈通路()11.有竞争的存在,就一定产生冒险。()4.4分析如图4.10.1所示的各电路的逻辑功能。

AB+AY1BC+⊕C

(c)

BC&&A&(a)

⊕⊕(b)

YY2D&FABC&&&&(d)

≥1F

图4.10.1

4.5试设计一个三输入、一输出的判断电路,它的逻辑功能是,当三个输入信号中有奇数个

为高电平时,输出才为高电平,否则输出为低电平。

4.6试设计一个三输入、一输出的多数表决器。(三个输入多数为1时,其输出为1)4.7试设计一个三变量的一致电路。(当变量全部相同时,输出为1,否则输出为0)

98

第四章组合逻辑电路

4.8试设计一个全减器,其输入是减数、被减数和低位的借位,输出

是差数和向高位的借位。4.9试用四选一数据选择器产生下列函数(1)Z=A⊕B(2)Z=A⊙B(3)Z=∑m(0,2,4,5)(4)Z=∑m(0,3,12,13,14)

4.10试用八选一数据选择器产生下列函数

(1)Z=C+AB+AB(2)Z=∑m(1,2,5,7)

(3)Z=∑m(0,2,5,7,8,9,10,13,15)(4)Z=∑m(2,3,6,10,15)(5)Z=∑m(0,2,7,8,13)

4.11试用数据选择器实现下表所示的逻辑功能

——

AB00011011ZCDCDCDCD4.12试设计一个伪码检验电路,当输入四位二

进制码为8421BCD伪码时,要求输出信号为1,否则输出为0。

4.13试用3线——8线译码器74LS138实现下列逻辑函数:

Z1ACABCABCZ2BCABC

4.14试用74LS138译码器设计一个三输入奇偶校验器。

4.15已知函数Y(A,B,C,D)=∑m(6,7,10,11,12,13,14,15),试分别用以下

99

第四章组合逻辑电路

几种组件实现。

(1)八选一数据选择器;

(2)四线——十六线译码器和与非门(译码器输出低电平有效);(3)与非门。

4.16三个输入信号中,A的优先权最高,B次之,C最低,它们通过编码器分别由FA、FB、FC输出。要求同一时间只有一个信号输出,若两个以上信号同时输入时,优先权高的被输出,试设计该逻辑电路。

4.17设计一个能实现两个两位二进制数乘法的组合逻辑电路。4.18试用八选一数据选择器和与非门分别设计一个三变量多数表决器。4.19试用一位二进制数比较器设计一个三位二进制数比较器。

4.20用“与非门”设计一个一位数值范围指示器,当输入电路的十进制数大于等于5时,电路输出为1,否则输出为0。(输入电路的十进制数用8421BCD码表示)4.21设计一个将十进制数0—9的8421BCD码转换为余3码的逻辑电路。

100

数字逻辑期末练习题

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 10. 对电压、频率、电流等模拟量进行数字处理之前,必须将其进行() A) D/A转换B) A/D转换C) 直接输入D) 随意 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分) 2.什么叫组合逻辑电路中的竞争-冒险现象?消除竞争-冒险现象的常用方法有哪些?(8分)

《数字逻辑》——期末复习题及答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为( ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有( ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是() A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是( )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [])E D (C B A F +?+?= 13.组合逻辑电路一般由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( )

数字逻辑习题

第一章 1.1 将下列二进制数转换为等值的十进制数和十六进制数。 (100010111 )2 ;(1101101 )2 ;(0.01011111 ) 2 ;(11.001 )2 。 1.2 将下列十六进制数转换为等值的二进制数和十进制数。 (8C )16 ;(3D.BE )16 ;(8F .FF )16 ;(10.00 )16 。 1.3 将下列十进制数转换为等值的二进制数和十六进制数。 (37 )10 ;(51 )10 ;(25.25 )10 ;(0.75 )10 。 1.4 用逻辑代数的基本公式和常用公式将下列逻辑函数化为最简与或式。 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) 1.5 将下列函数化为最小项表达式。 ( 1 ) ( 2 ) ( 3 ) 1.6 用卡诺图化简法将下列逻辑函数化为最简与或式。

( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ),约束条件为 1.7 逻辑代数中三种最基本的逻辑运算是什么? 1.8 任意两个不同的最小项之积恒为。 1.9 逻辑变量A 、B 、C 的全部最小项之和恒为。 1.10 8421BCD 码(10001000 )对应的余3 码为。 1.11 函数的最简与或式是。 ; ; ; ; 1.12 的原函数。 ; ; 1.13 以下的逻辑式中,正确的是。

则则 第二章 2.1 在逻辑电路中,以1 表示高电平,以0 表示低电平的逻辑关系称为逻辑。 2.2 用于实现基本逻辑运算的电子电路通称为。 2.3 要封锁一个或门(即输出恒为高电平),可将其中一个输入端接电平。 2.4 要封锁一个与门(即输出恒为低电平),可将其中一个输入端接电平。 2.5 三态输出门电路的三种输出状态是、和。 2.6 输出能实现线与(即输出端并联)的门电路有。 2.7 若将8 个三态门的输出端共用一条数据线,则在任何时刻应至少有个三态门的输出端处于高阻状态。 2.8 二极管门电路如下图所示,已知二极管、的导通压降为,当时,输出是。 2.9 在下列各TTL 门电路中,的是图。 a b c d

数字逻辑设计习题参考答案(第4章)

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 C B)⊙(⊕=A Y 经过真值表分析其逻辑功能为当A 、B 、C 三个输入信号中有且只有两个为1时输出为1,其他为0。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? X Z Y S ⊕⊕= YZ X Z Y C +⋅⊕=)( Z Y P ⊕= Z Y L ⋅= 当取S 和C 作为电路的输出时,此电路的逻辑功能是1位全加器,其中X 为低位的进位,S 为当前位的和,C 为进位。(由真值表可C 与 YZ X Z Y +⋅+)(完全一致。) Z B C B A ⋅C B)⊙(⋅A Z ) (Z Y X ⊕⋅Z Y X ⊕⋅)(Z Y X ⊕⋅Z Y ⋅1234

4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 Z Y X F ⊕⊕=1 Z Y X F ⋅⊕=)(2 Z XY Z XY F +⋅=3 XYZ F =4 4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出1P 和 2P 的表达式,列出真值表,说明其逻辑功能。 ABC C B A m m m m Y Y P +⋅⋅=+=⋅=⋅=7070701 6543216543212m m m m m m Y Y Y Y Y Y P +++++=⋅⋅+⋅⋅= C B C A B A ++= P1的逻辑功能为当三个输入信号完全一致时输出为1。 P2的逻辑功能为当上输入信号不完全一致时输出为1。 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备出故障时,指示灯红灯和黄灯都亮。 1234

数字逻辑第四章答案

1 第4章 作业答案 1、某工厂有三个车间,每个车间各需1kW 电力。这三个车间有两台发电机组供 电,一台是1kW ,另一台是2kW 。三个车间经常不同时工作,有时共有1个车间工作,有时两个或三个车间同时工作。为了节省能源又能保证电力供应,请设计一个逻辑电路,能自动完成供电分配任务。(所需要的门电路输入引脚个数和类型无限制要求,但是尽量用与非门和异或门实现)。 解:设A 、B 、C 分别为三个车间工作时的电力需求情况,1为工作,需要用 电,0为不工作不需要电力供应;输出为两个F 1、F 2,F 1=1表示需要1Kw 的发电机供电,F 2=1表示需要2Kw 的发电机供电,根据题意列阵真值 根据真值表得F 1和F 2的逻辑函数为: 电路图为: 2、分析下面逻辑电路图的功能。 解:根据电路图写出布尔表达式为:BC A BC A F +=∙= 根据真值表可知,当A 为1或B 、C 同时为1时,输出F=1;此电路可看作一个表决电路,A 为主裁 判,B 、C 为副裁判,规则为:当主裁判通过或两个副裁判同时通过时,最终表决结果F 为通过。 3、使用74138和与非门(输入引脚数目无限制)实现函数BC A F += 解:将函数扩展成为最小项表达式: 也可以如下操作: 4、使用74151和逻辑门实现下列逻辑函数。 (1)∑=)7,3,1,0(),,(m C B A F 解:7766554433221100D m D m D m D m D m D m D m D m Y +++++++= 令输入D 0、D 1、D 3、D 7为1,D 2、D 4、D 5、D 6为0,可得: (2)∑=)14,11,9,5,4,3,1(),,,(m D C B A F (可参考课本P89 例 解:ABC D A B B D F ++++++= 设ABC 为输入地址,则有: D 2输入1,D 3、D 6输入0,D 0、D 1、D 4、D 5输入变量D ,D 7输入D

数字电路与逻辑设计欧阳星明第四章组合逻辑电路习题

第四章 | 本章练习 本章练习 1.组合逻辑电路是由什么器件构成的?其结构有何特点? 重置 2.图4。14所示电路是否为组合电路?说明理由. 图4.14 重置 3.分析图4。15所示电路,说明电路功能。

重置 4.分析图4.16所示电路,试画出用异或门实现该电路功能的最简电路. 图4.16 重置 5.分析图4.17所示电路,试列出真值表,说明电路功能.

重置 A B C D W X Y Z A B C D W X Y Z 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 0 1 0 1 0 1 0 1 1 1 0 0 1 1 0 0 0 6.分析图4。18所示电路,设输入ABCD为8421码,试列出真值表,说明电路功能。 图4.18 重置 A B C D W X Y Z A B C D W X Y Z 0 0 0 0 1 0 0 1 0 1 0 1 0 1 0 0

7.设计一个组合逻辑电路,该电路输入端接收两个两位无符号二进制数A=A 1A 0和B=B 1B 0,当A=B 时,输出F 为1,否则F 为0。试用合适的逻辑门构造出最简电路。 8.设计一个代码转换电路,将一位十进制数的8421码转换成余3码. 9.用与非门设计一个组合逻辑电路,该电路输入为一位十进制数的2421 码,当输入的数为素数时,输出F 为1,否则F 为0。

数字逻辑课后习题(填空题)

第1章数字电路基础知识 1 电子电路主要分为两类:一类是模拟电路,另一类是数字电路。 2 模拟电路处理的是模拟信号,而数字电路处理的是数字信号。 3 晶体管(即半导体三极管)的工作状态有三种:截止、放大和饱和。在模拟电路中,晶体管主要工作在放大状态。 4 在数字电路中,晶体管工作在截止与饱和状态,也称为“开关”状态。 5 模拟信号是一种大小随时间连续变化的电压或电流,数字信号是一种突变的电压和电流。 6 模拟信号的电压或电流的大小是随时间连续缓慢变化的,而数字信号的特点是“保持”(一段时间内维持低电压或高电压)和“突变”(低电压与高电压的转换瞬间完成)。 7 在数字电路中常将0~1v范围的电压称为低电平,用“0”来表示;将3~5v范围的电压称为高电平,用“1”来表示。

第2章 门电路 1 基本门电路有与门、或门、非门三种。 2 与门电路的特点是:只有输入端都为 高电平 时,输出端才会输出高电平;只要有一个输入端为“0”,输出端就会输出 低电平 。与门的逻辑表达式是 Y A B =? 。 3 或门电路的特点是:只要有一个输入端为 高电平 ,输出端就会输出高电平。只有输入端都为 低电平 时,输出端才会输出低电平。或门的逻辑表达式是Y A B =+ 。 4 非门电路的特点是:输入与输出状态总是 相反 。非门的逻辑表达式是 Y A -= 。 5 与非门的特点是:只有输入全为“1”,输出为 0 ,只要有一个输入端为“0”,输出端就会输出 1 。与非门的逻辑表达式是 。 6 或非门的特点是:只有输入全为“0”时,才输出 1 ,只要输入有“1”,输出就为 0 。或非门的逻辑表达式是 。 7 与或非的特点是:A 、B 或C 、D 两组中有一组全为“1”,输出就为 0 ,否则输出就为 1 。与或非门的逻辑表达式是 。 8 异或门的特点是:当两个输入端一个为“0”,另一个为“1”,输出为 1 ,当两个输入端均为“1”或“0”时,输出为 0 。异或门的逻辑表达式是 。 9 同或门的特点是:当两个输入端一个为“0”,另一个为“1”时,输出为 0 ,当两个输入端均为“1”或“0”时,输出为 1 。同或门的逻辑表达式是 。

高等院校 数字逻辑 习题四

习题四 4.1填空题 1.常用的组合电路的逻辑功能表示方法有、、逻辑图和等四种。 2.将含有特定意义的数字或符号信息用二进制代码表示的过程称为__________,实现该功能的电路称为_____________。 3.将二进制代码表示的信息翻译出来的过程称为_____________,实现该功能的电路称为____________。 4.将多路输入数据在_____________信号的控制下,有选择地传送到输出端的过程称为数据选择,实现数据选择功能的电路称为_________________。 5.对于优先编码器,当一位以上输入同时有效时,只对___________________的输入进行编码。 6.译码器除具有译码功能外,还可构成_____________。 7.数据选择器除具有数据选择的功能外,还可以构成___________和实现_______________功能。 8.能实现对两个n位二进制数进行比较并判断其大小关系的逻辑电路称为数据比较器。对两个n位二进制数的比较过程是由______位到______位逐位进行比较的。 9.格雷码的的特点是任意两个相邻码只有位不同。 10.译码是编码的,即是将每个代码的的过程。 11.判别一个电路中是否存在冒险现象的方法有和两种。 12.(0110 1000 0010)8421BCD=()5421BCD=()余3码 13.试列举出五种常用的集成组合逻辑部件,、、、 、。 14. n个输入端的二进制译码器,共有个输出端。对于每一组输入代码,有个输出端为有效电平。 15.数据选择器是一种输入、输出的逻辑部件;数据分配器是一种输入、输出的逻辑部件。 16.给68个字符编码,至少需要位二进制数。

数字逻辑第六版白中英课后习题答案

第四章习题答案 1.设计4个寄存器堆.. 解: 2. 设计具有4个寄存器的队列.. 解: 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈.. 4.SRAM、DRAM的区别 解:DRAM表示动态随机存取存储器;其基本存储单元是一个晶体管和一个电容器;是一种以电荷形式进行存储的半导体存储器;充满电荷的电容器代表逻辑“1”;“空”的电容器代表逻辑“0”..数据存储在电容器中;电容存储的电荷一般是会慢慢泄漏的;因此内存需要不时地刷新..电容需要电流进行充电;而电流充电的过程也是需要一定时间的;一般是0.2-0.18微秒由于内存工作环境所限制;不可能无限制的提高电流的强度;在这个充电的过程中内存是不能被访问的..DRAM拥有更高的密度;常常用于PC中的主存储器.. SRAM是静态的;存储单元由4个晶体管和两个电阻器构成;只要供电它就会保持一个值;没有刷新周期;因此SRAM 比DRAM要快..SRAM常常用于高速缓冲存储器;因为它有更高的速率; 5. 为什么DRAM采用行选通和列选通 解:DRAM存储器读/写周期时;在行选通信号RAS有效下输入行地址;在列选通信号CAS有效下输入列地址..如果是读周期;此位组内容被读出;如

果是写周期;将总线上数据写入此位组..由于DRAM 需要不断刷新;最常用的是“只有行地址有效”的方法;按照这种方法;刷新时;是在RAS 有效下输入刷新地址;存储体的列地址无效;一次选中存储体中的一行进行刷新..每当一个行地址信号RAS 有效选中某一行时;该行的所有存储体单元进行刷新.. 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B B 2 B 1 B 0 G G 2G 1G 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 最小项表达式为: G =∑)9,8,7,6,5( G 2=∑)9,4,3,2,1( G 1=∑)8,7,4,3,0( G 0=∑)8,6,4,2,0( 阵列图为: 333

数字逻辑第四章课后答案

习题4解答 4-1 试用与非门设计实现函数F=Σm<0,2,5,8,11,13,15>的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表: 逻辑函数表达式: 4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: C B A C B A C B A C B A Y ⋅⋅+⋅⋅+⋅⋅+⋅⋅=C B A ⊕⊕=)( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ⋅⋅⋅⋅⋅⋅⋅=++⋅⋅+⋅⋅=++⋅⋅+⋅⋅=逻辑图 B A C D F

真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码 ,输出为4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 真值表: 卡诺图化简: 逻辑图

图 由水泵M1 单独供水。当水池水位低于A 点且高于B 点时,由水泵M2单独供水。当水池水位高于A 点时,两台水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。 图4.48 习题4-5的示意图 解:设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。 首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用约束项表示。 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 M 1的卡诺图 M 2的卡诺图

数字逻辑第4章习题参考解答.docx

数字逻辑第四章参考解答: 4-5根据Demorgan定理,X +Y Z的补为XA+ZJ但这两个函数在 XYZ=110时都等于lo对于一个给定的输入组合,一个函数和其补函数怎么能都等于1呢?岀了什么错误? 答:在利用定理时,没有考虑到运算先后顺序,正确的补函数应该为: (X+Y・ z) = x '(y ・ z) = x ©+z)=x y+x ‘z 4.7请写出下面各个逻辑函数的真值表. a) F = X'・Y + XVZ 可先简化为:F = X1(Y + rZ)=X f(Y + Z) X Y Z X・ Y+Z X f(Y+Z) 0 0 0 1 0 0 0 0 1 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1 0 00 o 0 1 0 10 1 0 1 1 00 1 0 1 1 10 1 0 c) F二W+X' • (Y'+Z)二W+X' • Y'+X' • Z

4.25证明OR(n)可以采用(n・l)个0R(2)实现;NOR也能这样吗?证明你的结论。 解:根据逻辑定理: (兀1 + 兀2 + 兀3 + 兀4 + 兀5 +...) = ((((xl + 兀2)+ 兀3)+ x4)+ 兀5)+ .・.

第1次运算实现2个变量的OR,笫2次运算实现3个变量的OR, 第(ml )次运算就可以实现n 个变量的OR 。 NOR 不能这样做:以3个变量为例:利用DeMorgan 9 s 定理 ((xl + 兀2)'+兀3)'=(兀1‘•兀 2'+x3)N (兀 1 + x2 + x3) 所以不能采用这种方式替换。 4.36对于XNOR,写出真值表,积之和表达式以及对应的与或结构 逻辑 图。 解:真值表 逻辑式:F = A B + A^逻辑图: 4.38采用题设条件如何得到反相器(题略)。 答:只能利用XNOR 实现,在逻辑表达式F = A ・B + A ・F 中,令B 或A 等于0 (将该输入端接地),即可实现反相器功能。 4.9请写出下面各个逻辑函数的标准和与标准积. a ) F = EJl ,2)= n ;JO,3) 标准和:F = X •厂+XY 标准积:F=(X+Y )・(X4F ) b ) F = II 』1,2)= E B ⑶ 标准和:F = AB A B F 0 0 1 0 1 0 1 0 0 1 1

数字逻辑题练习题

数字逻辑题练习题 1. 问题描述: 设计一个四位二进制加法器电路,输入两个四位二进制数码,并输出它们的和。 2. 解题思路: 一个四位二进制数码由四个位(bit)组成,每个位上只能是0或1。我们可以将加法器分为四个阶段,依次相加每个位上的数字:从低位(右边)到高位(左边)。在每个阶段中,通过逻辑门来实现各个位上的加法运算,包括进位和数字位的计算。最后,将四个位上的运算结果连接起来,得到最终的四位二进制和。 3. 加法器电路设计: 3.1 输入端: - 设计两个四位二进制数码的输入端,使用开关或者按钮来模拟输入。 3.2 逻辑门: - 使用逻辑门(例如,AND、OR、XOR)来实现加法运算,根据真值表来确定门的输入输出关系。 3.3 进位逻辑: - 通过与门和异或门来实现进位逻辑。

3.4 数字位运算: - 通过与门、异或门和或门来实现数字位运算。 3.5 输出端: - 设置一个四位二进制数码的输出端,用来显示最终的和。 4. 真值表: 为了控制逻辑门的输入输出关系,我们可以绘制一个真值表,列 出所有可能的输入组合及其相应的输出结果。通过观察真值表,我们 可以得到逻辑门的输入输出关系,进而进行电路设计。 5. 流程图: 绘制一个流程图,描述四位二进制加法器电路的运算流程,以便 更好地理解电路设计过程。 6. 电路实现: 基于逻辑门和布线实现电路设计,可以使用数字逻辑芯片或者编 程FPGA等方式。确保连接正确,电路布线良好,以避免信号干扰和 短路等问题。 7. 总结: 数字逻辑题练习题要求设计一个四位二进制加法器电路,输入两 个四位二进制数码,并输出它们的和。我们通过设计逐位相加的电路,利用逻辑门和进位逻辑来实现加法运算,最终得到四位二进制数的和。设计的过程中,需要绘制真值表和流程图,确保电路设计的准确性和

数字逻辑第四版课后练习题含答案

数字逻辑第四版课后练习题含答案 1. 第一章 1.1 课后习题 1. 将十进制数22转换为二进制数。 答:22 = 10110 2. 将二进制数1101.11转换为十进制数。 答:1101.11 = 1 x 2^3 + 1 x 2^2 + 0 x 2^1 + 1 x 2^0 + 1 x 2^(-1) + 1 x 2^(-2) = 13.75 3. 将二进制数1101.01101转换为十进制数。 答:1101.01101 = 1 x 2^3 + 1 x 2^2 + 0 x 2^1 + 1 x 2^0 + 0 x 2^(-1) + 1 x 2^(-2) + 1 x 2^(-4) + 0 x 2^(-5) + 1 x 2^(-6) = 13.40625 1.2 实验习题 1. 合成与门电路 设计一个合成与门电路,使得它的输入A,B和C,只有当A=B=C=1时输出为1,其他情况输出为0。 答:下面是一个合成与门电路的示意图。 合成与门电路示意图 其中,S1和S2是两个开关,当它们都被打开时,电路才会输出1。

2. 第二章 2.1 课后习题 1. 将十进制数168转换为八进制数和二进制数。 答:168 = 2 x 8^3 + 1 x 8^2 + 0 x 8^1 + 0 x 8^0 = 250(八进制)。 168 = 10101000(二进制)。 2. 将八进制数237转换为十进制数和二进制数。 答:237 = 2 x 8^2 + 3 x 8^1 + 7 x 8^0 = 159(十进制)。 237 = 010111111(二进制)。 2.2 实验习题 1. 全加器电路 设计一个全加器电路,它有三个输入A,B和C_in,两个输出S和C_out。 答:下面是一个全加器电路的示意图。 C_in | / \\ / \\ / \\ / \\ / \\ A|________ \\ | | AND Gate ______| | B|__| XOR |_| S \\

数字逻辑电路习题与答案

数字逻辑电路习题与答案 1、在数字系统中,下列哪种不是数的小数点表示法? A.定点整数表示法 B.记阶表示法 C.浮点表示法 D.定点小数表示法 正确答案:B 2、下列哪种代码是自补码? A.格雷码 B.步进码 C.8421码 D.2421码 正确答案:D 3、下列哪种不是可靠性编码? A.8421海明码 B.余三码 C.格雷码 D.奇偶校验码 正确答案:B 4、下列哪个不是逻辑代数的基本运算? A.与 B.与非 C.或 D.非 5、下列逻辑函数的表示方法中哪种不是唯一的? A.卡诺图 B.最小项标准式 C.逻辑表达式 D.真值表

正确答案:C 6、下列哪个不是逻辑门的符号标准? A.长方形符号 B.数字符号 C.等效符号 D.变形符号 正确答案:B 7、下列哪个叙述是正确的? A.竞争是同一个信号或同时变化的某些信号经过不同路径到达某一点有时差的这种现象 B.产生错误输出的竞争是非临界竞争 C.竞争一定是同一个信号经过不同路径到达某一点有时差的这种现象 D.竞争一定是同时变化的某些信号经过不同路径到达某一点有时差的这种现象 正确答案:B 8、下列哪个叙述是正确的? A.险象分为静态险象和动态险象 B.险象分为功能险象和静态险象 C.险象分为功能险象和逻辑险象 D.险象不一定是竞争的结果 正确答案:A 9、下列叙述哪个是正确的? A.RC延迟电路不能用于消除险象 B.RC延迟电路在实际运行的数字电路中起到了很重要的作用 C.RC延迟电路在电路中很少存在 D.RC延迟电路在电路的使用中不会起到好的作用 正确答案:B 10、在广义上,组合电路可以看作是下列哪个器件? A.译码器

数字逻辑与数字系统练习题

https://www.360docs.net/doc/b319103959.html,/cugFirst/fig_logic/exercise/xiti/T3%EF%BC%8D3.htm 1 由或非门构成的触发器电路如图3.25所示,请写出触发器输出Q的次态方程。图中已给出输入信号a、b、c的波形。设触发器的初始状态为1,画出输出口的波形。 图3.25 [答案] a b c Q n+1 000Q n 0011 0101 0111 1000 101φ 110φ 111φ ◆2 按钮开关在转换地时候,由于簧片地颤动,使信号也出现抖动,因此实际使用时往往要加上防抖动电路。RS触发器时常用的电路之一,其连接如图3.26(b)所示。请说明其工作原理。输入信号o、6、c的波形。设触发器的初始状态为1,画出输出口的波形。 图3.26 [答案]◆3 已知JK信号如图3.27(a)所示,请分别画出主从JK触发器和负边沿JK触发器的输出波形。设触发器初始状态为0。 图3.27 [答案]◆4 在数字设备中常需要一种所谓单脉冲发生器的装置。用一个按钮来控制脉冲的产生,每按一次按钮就输出一个宽度一定的脉冲。图3.28就是一种单脉冲发生器。按钮S i 每按下一次(不论时间长短),就在Q1输出一个脉冲。根据给定的U i和J i的波形,画出Q1和Q2的波形。

图3.28 [答案]◆5 写出图3.29中各个触发器的次态方程,并按照所给的CP信号,画出各个触发器的输出波形(设初始态为0)。 图3.29 [答案]◆6 带有与或输入门电路的JK触发器的逻辑示意如图3.30所示,图中标明了外加输入信号的连接。请写出图中触发器的次态方程,并根据所给的输入波形,画出输出波形。 图3.30 [答案]◆7 图3.31(a)是一种两拍工作寄存器的逻辑图,即每次在存人数据之前必须先加人置0 信号,然后“接收”信号有效,数据存人寄存器。(1)若不按两拍工作方式来工作,即置 0信号始终无效,则当输人数据为D2D1D0=000--001---010时,输出数据Q2Q1Q0将如何变化?(2)为使电路正常工作,置0信号和接收信号应如何配合?画出这两种信 号的正确时间关系。(3)若采用单拍方式工作,请提出一种改进方案。

专科《数字逻辑》复习题库及答案

专科《数字逻辑》复习题库及答案 一、选择题 1. 和二进制数(1100110111.001)等值的十六进制数学是( )。 A.337.2 B.637.2 C.1467.1 D.c37.4 2. 是8421BCD 码的是( ) A.1010 B.0101 C.1100 D.1111 3. 和二进制码1100对应的格雷码是( ) A.0011 B.1100 C.1010 D.0101 4. 和逻辑式ABC A +__ 相等的式子是( ) A.ABC B.1+BC C.A D.BC A +___ 5. 若干个具有三态输出的电路输出端接到一点工作时,必须保证( ) A.任何时候最多只能有一个电路处于三态,其余应处于工作态。 B.任何时候最多只能有一个电路处于工作态,其余应处于三态。 C.任何时候至少要有两个或三个以上电路处于工作态。 D.以上说法都不正确。 6. A+B+C+__ A +A __ B =( ) A.A B.__ A C.1 D.A+B+C 7. 下列等式不成立的是( ) A. B A B A A +=+__ B.(A+B)(A+C)=A+BC C.AB+AC+BC=AB+BC D.1__ ______=+++B A AB B A B A 8. ) (F ,)6,5,4,3,2,1,0(C)B ,,F(A ==∑ 则m A.ABC B.A+B+C C.__ ____C B A ++ D. __ ____C B A 9. 欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是( ) A.5 B.6 C.10 D.53 10. 一块数据选择器有三个地址输入端,则它的数据输入端应有( )。 A.3 B.6 C.8 D.1 11. 或非门构成的基本RS 触发器,输入端SR 的约束条件是( ) A.SR=0 B.SR=1 C.1____=+R S D.0__ __=+R S 12. 在同步方式下,JK 触发器的现态Q n = 0,要使Q n+1 = 1,则应使( )。 A.J=K=0 B.J=0,K=1 C.J=1,K=X D.J=0,K=X 13. 一个T 触发器,在T=1时,来一个时钟脉冲后,则触发器( )。 A.保持原态 B.置0 C.置1 D.翻转 14. 在CP 作用下,欲使D 触发器具有Q n+1 =__n Q 的功能,其D 端应接( ) A.1 B.0 C.n Q D.__ n Q 15. 一片四位二进制译码器,它的输出函数有( ) A.1个 B.8个 C.10个 D.16个 16. 比较两个两位二进制数A=A 1A 0和B=B 1B 0,当A>B 时输出F=1,则F 的表达式是( )。 A. __ 11B A F = B.__01__01B B A A F ++= C.__ 00_________11__ 11B A B A B A F ⊕+= D. __00__11B A B A F ++= 17. 相同计数模的异步计数器和同步计数器相比,一般情况下( ) A.驱动方程简单 B.使用触发器的个数少 C.工作速度快 D.以上说法都不对 18. 测得某逻辑门输入A 、B 和输出F 的波形如下图,则F(A ,B)的表达式是( ) A.F=AB B.F=A+B C.B A F ⊕= D.__ B A F =

数字逻辑习题库含答案

For personal use only in study and research; not for commercial use 《数字逻辑》习题案例(计算机科学与技术专业、信息安全专业) 2004年7月 计算机与信息学院、计算机系统结构教研室

一、选择题 1.十进制数33的余3码为 。 A. 00110110 B. 110110 C. 01100110 D. 100100 2.二进制小数-0.0110的补码表示为 。 A .0.1010 B .1.1001 C .1.0110 D .1.1010 3.两输入与非门输出为0时,输入应满足 。 A .两个同时为1 B .两个同时为0 C .两个互为相反 D .两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 ? A . 9 B .7 C .16 D .不能确定 5. 下列逻辑函数中,与A F =相等的是 。 )(A 11⊕=A F )(B A F =2⊙1 )(C 13⋅=A F )(D 04+=A F 6. 设计一个6进制的同步计数器,需要 个触发器。 )(A 3 )(B 4 )(C 5 )(D 6 7. 下列电路中,属于时序逻辑电路的是 。 )(A 编码器 )(B 半加器 )(C 寄存器 )(D 译码器 8. 列电路中,实现逻辑功能n n Q Q =+1的是 。 )(A )(B )(C (D) 9. 的输出端可直接相连,实现线与逻辑功能。 )(A 与非门 )(B 一般TTL 门 )(C 集电极开路OC 门 )(D 一般CMOS 门 10.以下代码中为无权码的为 。 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 11.以下代码中为恒权码的为 。 A .8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 12.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16

数字逻辑电路与系统设计[蒋立平主编][习题解答]【甄选文档】

数字逻辑电路与系统设计[蒋立平主编][习题解答] 第4章习题及解答 4.1 用门电路设计一个4线—2线二进制优先编码器。编码器输入为3210A A A A ,3A 优先级最高,0A 优先级最 低,输入信号低电平有效。输出为10Y Y ,反码输出。电路要求加一G 输出端,以指示最低优先级信号0A 输入有效。 题4.1 解:根据题意,可列出真值表,求表达式,画出电路图。其真值表、表达式和电路图如图题解4.1所 示。由真值表可知3 2 10G A A A A =。 (a)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0 1 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1 00000000000000000000000000101000111110101 1000010 3A 2A 1A 0A 1Y 0Y G 真值表 1 Y 3A 2 A 1 A 0 Y G A 00 01 11 10 001 00011110 00000001101 1 1 3A 2 A 1A 0 A 03231 Y A A A A =+00 01 11 10 000 00011110 00100001110 3A 2 A 1A 0 A 132 Y A A =(b) 求输出表达式 (c) 编码器电路图 图 题解4.1 4.3 试用3线—8线译码器74138扩展为5线—32线译码器。译码器74138逻辑符号如图4.16(a )所示。 题4.3 解:5线—32线译码器电路如图题解4.3所示。

相关主题
相关文档
最新文档